Index of /mirror/alpinelinux.org/edge/testing/ppc64le

[ICO]NameLast modifiedSize

[PARENTDIR]Parent Directory  -
[   ]it87-src-1_p20240609-r0.apk2024-06-27 17:09 29K
[ARC]APKINDEX.tar.gz2024-06-27 17:09 858K
[   ]tree-sitter-ssh-client-config-2024.6.27-r0.apk2024-06-27 10:12 82K
[   ]xcb-util-errors-doc-1.0.1-r0.apk2024-06-27 09:31 2.2K
[   ]xcb-util-errors-dev-1.0.1-r0.apk2024-06-27 09:31 14K
[   ]xcb-util-errors-1.0.1-r0.apk2024-06-27 09:31 11K
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-06-27 09:31 5.0K
[   ]py3-iterable-io-1.0.0-r0.apk2024-06-27 09:31 5.8K
[   ]perl-test-unit-doc-0.26-r0.apk2024-06-27 09:31 48K
[   ]perl-test-unit-0.26-r0.apk2024-06-27 09:31 37K
[   ]hyprwayland-scanner-doc-0.3.10-r0.apk2024-06-27 09:31 2.2K
[   ]hyprwayland-scanner-0.3.10-r0.apk2024-06-27 09:31 74K
[   ]hyprutils-doc-0.1.5-r0.apk2024-06-27 09:31 2.2K
[   ]hyprutils-dev-0.1.5-r0.apk2024-06-27 09:31 9.6K
[   ]hyprutils-0.1.5-r0.apk2024-06-27 09:31 24K
[   ]hyprland-wallpapers-0.41.2-r0.apk2024-06-27 09:31 45M
[   ]hyprland-doc-0.41.2-r0.apk2024-06-27 09:31 3.2K
[   ]hyprland-dev-0.41.2-r0.apk2024-06-27 09:31 823K
[   ]hyprland-0.41.2-r0.apk2024-06-27 09:31 2.1M
[   ]hyprcursor-util-0.1.9-r0.apk2024-06-27 09:31 123K
[   ]hyprcursor-doc-0.1.9-r0.apk2024-06-27 09:31 4.8K
[   ]hyprcursor-dev-0.1.9-r0.apk2024-06-27 09:31 4.7K
[   ]hyprcursor-0.1.9-r0.apk2024-06-27 09:31 156K
[   ]cgit-pink-doc-1.4.1-r0.apk2024-06-27 09:31 11K
[   ]cgit-pink-1.4.1-r0.apk2024-06-27 09:31 1.3M
[   ]bpftop-0.5.1-r0.apk2024-06-27 09:31 533K
[   ]nextpnr-ice40-0.7-r0.apk2024-06-27 05:12 69M
[   ]nextpnr-gowin-0.7-r0.apk2024-06-27 05:12 1.5M
[   ]nextpnr-generic-0.7-r0.apk2024-06-27 05:12 795K
[   ]nextpnr-ecp5-0.7-r0.apk2024-06-27 05:12 25M
[   ]nextpnr-0.7-r0.apk2024-06-27 05:12 1.2K
[   ]mergerfs-doc-2.40.2-r0.apk2024-06-27 04:30 42K
[   ]mergerfs-2.40.2-r0.apk2024-06-27 04:30 292K
[   ]subliminal-pyc-2.2.0-r0.apk2024-06-26 23:07 135K
[   ]subliminal-2.2.0-r0.apk2024-06-26 23:07 69K
[   ]py3-pysubs2-pyc-1.7.1-r0.apk2024-06-26 23:07 57K
[   ]py3-pysubs2-1.7.1-r0.apk2024-06-26 23:07 32K
[   ]py3-enzyme-pyc-0.5.1-r0.apk2024-06-26 23:07 19K
[   ]py3-enzyme-0.5.1-r0.apk2024-06-26 23:07 23K
[   ]hyfetch-zsh-completion-1.4.11-r0.apk2024-06-26 23:07 2.3K
[   ]hyfetch-pyc-1.4.11-r0.apk2024-06-26 23:07 203K
[   ]hyfetch-doc-1.4.11-r0.apk2024-06-26 23:07 13K
[   ]hyfetch-bash-completion-1.4.11-r0.apk2024-06-26 23:07 3.1K
[   ]hyfetch-1.4.11-r0.apk2024-06-26 23:07 433K
[   ]mir-test-tools-2.15.0-r3.apk2024-06-26 17:01 216K
[   ]mir-dev-2.15.0-r3.apk2024-06-26 17:01 4.5M
[   ]mir-demos-2.15.0-r3.apk2024-06-26 17:01 124K
[   ]mir-2.15.0-r3.apk2024-06-26 17:01 1.7M
[   ]zint-qt-2.13.0-r0.apk2024-06-26 15:30 314K
[   ]zint-doc-2.13.0-r0.apk2024-06-26 15:30 10K
[   ]zint-dev-2.13.0-r0.apk2024-06-26 15:30 9.5K
[   ]zint-2.13.0-r0.apk2024-06-26 15:30 507K
[   ]uv-zsh-completion-0.2.15-r0.apk2024-06-26 15:30 11K
[   ]uv-fish-completion-0.2.15-r0.apk2024-06-26 15:30 13K
[   ]uv-bash-completion-0.2.15-r0.apk2024-06-26 15:30 5.6K
[   ]uv-0.2.15-r0.apk2024-06-26 15:30 4.7M
[   ]py3-uv-pyc-0.2.15-r0.apk2024-06-26 15:30 3.4K
[   ]py3-uv-0.2.15-r0.apk2024-06-26 15:30 19K
[   ]passes-lang-0.9-r0.apk2024-06-26 15:30 7.2K
[   ]passes-0.9-r0.apk2024-06-26 15:30 41K
[   ]dolt-1.41.1-r0.apk2024-06-26 15:16 32M
[   ]timeshift-lang-24.06.2-r0.apk2024-06-26 11:23 881K
[   ]timeshift-doc-24.06.2-r0.apk2024-06-26 11:23 2.9K
[   ]timeshift-24.06.2-r0.apk2024-06-26 11:23 473K
[   ]codeberg-cli-zsh-completion-0.4.2-r0.apk2024-06-26 11:20 6.1K
[   ]codeberg-cli-fish-completion-0.4.2-r0.apk2024-06-26 11:20 4.4K
[   ]codeberg-cli-bash-completion-0.4.2-r0.apk2024-06-26 11:20 4.6K
[   ]codeberg-cli-0.4.2-r0.apk2024-06-26 11:20 2.0M
[   ]wakeonlan-doc-0.42-r0.apk2024-06-26 10:05 7.3K
[   ]wakeonlan-0.42-r0.apk2024-06-26 10:05 4.2K
[   ]grommunio-gromox-openrc-2.30-r0.apk2024-06-26 06:01 2.4K
[   ]grommunio-gromox-doc-2.30-r0.apk2024-06-26 06:01 114K
[   ]grommunio-gromox-dev-2.30-r0.apk2024-06-26 06:01 2.9K
[   ]grommunio-gromox-dbg-2.30-r0.apk2024-06-26 06:01 31M
[   ]grommunio-gromox-2.30-r0.apk2024-06-26 06:01 2.6M
[   ]py3-parse-pyc-1.20.2-r0.apk2024-06-26 05:59 18K
[   ]py3-parse-1.20.2-r0.apk2024-06-26 05:59 20K
[   ]rime-ls-0.3.0-r1.apk2024-06-26 05:57 1.2M
[   ]libime-dev-1.1.8-r0.apk2024-06-26 05:57 31K
[   ]libime-1.1.8-r0.apk2024-06-26 05:57 35M
[   ]fcitx5-table-other-5.1.3-r0.apk2024-06-26 05:57 1.4M
[   ]fcitx5-table-extra-5.1.6-r0.apk2024-06-26 05:57 42M
[   ]fcitx5-rime-lang-5.1.8-r0.apk2024-06-26 05:57 8.5K
[   ]fcitx5-rime-5.1.8-r0.apk2024-06-26 05:57 77K
[   ]fcitx5-kkc-lang-5.1.4-r0.apk2024-06-26 05:57 11K
[   ]fcitx5-kkc-5.1.4-r0.apk2024-06-26 05:57 85K
[   ]fcitx5-hangul-lang-5.1.4-r0.apk2024-06-26 05:57 6.7K
[   ]fcitx5-hangul-5.1.4-r0.apk2024-06-26 05:57 51K
[   ]fcitx5-configtool-lang-5.1.6-r0.apk2024-06-26 05:57 46K
[   ]fcitx5-configtool-5.1.6-r0.apk2024-06-26 05:57 591K
[   ]fcitx5-chewing-lang-5.1.5-r0.apk2024-06-26 05:57 9.0K
[   ]fcitx5-chewing-5.1.5-r0.apk2024-06-26 05:57 32K
[   ]fcitx5-bamboo-lang-1.0.6-r0.apk2024-06-26 05:57 5.5K
[   ]fcitx5-bamboo-1.0.6-r0.apk2024-06-26 05:57 679K
[   ]ripdrag-0.4.9-r0.apk2024-06-26 05:47 367K
[   ]qrtr-openrc-1.0_git20230118-r0.apk2024-06-26 05:47 1.5K
[   ]qrtr-libs-1.0_git20230118-r0.apk2024-06-26 05:47 8.2K
[   ]qrtr-doc-1.0_git20230118-r0.apk2024-06-26 05:47 2.2K
[   ]qrtr-dev-1.0_git20230118-r0.apk2024-06-26 05:47 3.1K
[   ]qrtr-1.0_git20230118-r0.apk2024-06-26 05:47 19K
[   ]lomiri-trust-store-lang-2.0.2-r3.apk2024-06-26 05:47 32K
[   ]lomiri-trust-store-dev-2.0.2-r3.apk2024-06-26 05:47 9.2K
[   ]lomiri-trust-store-2.0.2-r3.apk2024-06-26 05:47 1.0M
[   ]lomiri-location-service-lang-3.1.0-r3.apk2024-06-26 05:47 24K
[   ]lomiri-location-service-doc-3.1.0-r3.apk2024-06-26 05:47 2.6K
[   ]lomiri-location-service-dev-3.1.0-r3.apk2024-06-26 05:47 31K
[   ]lomiri-location-service-3.1.0-r3.apk2024-06-26 05:47 2.1M
[   ]lomiri-download-manager-lang-0.1.3-r3.apk2024-06-26 05:47 31K
[   ]lomiri-download-manager-doc-0.1.3-r3.apk2024-06-26 05:47 749K
[   ]lomiri-download-manager-dev-0.1.3-r3.apk2024-06-26 05:47 17K
[   ]lomiri-download-manager-0.1.3-r3.apk2024-06-26 05:47 608K
[   ]godap-zsh-completion-2.7.1-r0.apk2024-06-26 05:47 3.8K
[   ]godap-fish-completion-2.7.1-r0.apk2024-06-26 05:47 4.0K
[   ]godap-doc-2.7.1-r0.apk2024-06-26 05:47 6.0K
[   ]godap-bash-completion-2.7.1-r0.apk2024-06-26 05:47 4.8K
[   ]godap-2.7.1-r0.apk2024-06-26 05:47 3.6M
[   ]create-tauri-app-doc-4.0.1-r0.apk2024-06-26 05:47 6.0K
[   ]create-tauri-app-4.0.1-r0.apk2024-06-26 05:47 655K
[   ]azpainter-doc-3.0.8-r0.apk2024-06-26 05:47 42K
[   ]azpainter-3.0.8-r0.apk2024-06-26 05:47 931K
[   ]sutf-5.2.8-r0.apk2024-06-25 18:22 533K
[   ]simdutf-doc-5.2.8-r0.apk2024-06-25 18:22 2.0K
[   ]simdutf-dev-5.2.8-r0.apk2024-06-25 18:22 24K
[   ]simdutf-5.2.8-r0.apk2024-06-25 18:22 31K
[   ]fastbase64-5.2.8-r0.apk2024-06-25 18:22 395K
[   ]lpa-gtk-pyc-0.1-r1.apk2024-06-25 16:13 22K
[   ]lpa-gtk-0.1-r1.apk2024-06-25 16:13 13K
[   ]py3-unearth-pyc-0.15.5-r0.apk2024-06-25 13:36 81K
[   ]py3-unearth-0.15.5-r0.apk2024-06-25 13:36 41K
[   ]tinygltf-dev-2.8.22-r0.apk2024-06-25 13:34 57K
[   ]tinygltf-2.8.22-r0.apk2024-06-25 13:34 143K
[   ]ghc-filesystem-1.5.14-r0.apk2024-06-25 13:34 38K
[   ]draco-tools-1.5.7-r0.apk2024-06-25 13:34 1.2M
[   ]draco-static-1.5.7-r0.apk2024-06-25 13:34 1.5M
[   ]draco-dev-1.5.7-r0.apk2024-06-25 13:34 213K
[   ]draco-1.5.7-r0.apk2024-06-25 13:34 862K
[   ]cdba-server-1.0-r1.apk2024-06-25 13:15 23K
[   ]cdba-1.0-r1.apk2024-06-25 13:15 8.2K
[   ]metricbeat-openrc-8.14.1-r0.apk2024-06-25 10:49 1.8K
[   ]metricbeat-8.14.1-r0.apk2024-06-25 10:49 35M
[   ]filebeat-openrc-8.14.1-r0.apk2024-06-25 10:49 1.8K
[   ]filebeat-8.14.1-r0.apk2024-06-25 10:49 28M
[   ]elastic-beats-8.14.1-r0.apk2024-06-25 10:49 1.1K
[   ]barman-pyc-3.10.1-r0.apk2024-06-25 09:48 516K
[   ]barman-doc-3.10.1-r0.apk2024-06-25 09:48 49K
[   ]barman-bash-completion-3.10.1-r0.apk2024-06-25 09:48 1.4K
[   ]barman-3.10.1-r0.apk2024-06-25 09:48 321K
[   ]py3-nikola-pyc-8.3.1-r0.apk2024-06-25 05:59 530K
[   ]py3-nikola-doc-8.3.1-r0.apk2024-06-25 05:59 60K
[   ]py3-nikola-8.3.1-r0.apk2024-06-25 05:59 1.2M
[   ]fheroes2-lang-1.1.0-r0.apk2024-06-25 05:57 1.6M
[   ]fheroes2-1.1.0-r0.apk2024-06-25 05:57 1.6M
[   ]py3-xsdata-pyc-24.6-r0.apk2024-06-24 12:33 392K
[   ]py3-xsdata-24.6-r0.apk2024-06-24 12:33 184K
[   ]tootik-openrc-0.11.2-r0.apk2024-06-24 10:45 2.9K
[   ]tootik-0.11.2-r0.apk2024-06-24 10:45 3.5M
[   ]py3-sphinx-autodoc-typehints-pyc-2.2.2-r0.apk2024-06-24 10:25 28K
[   ]py3-sphinx-autodoc-typehints-2.2.2-r0.apk2024-06-24 10:25 19K
[   ]mitra-openrc-2.23.0-r0.apk2024-06-24 06:54 1.6K
[   ]mitra-doc-2.23.0-r0.apk2024-06-24 06:54 19K
[   ]mitra-2.23.0-r0.apk2024-06-24 06:54 11M
[   ]perl-net-amqp-rabbitmq-doc-2.40011-r0.apk2024-06-24 04:16 9.3K
[   ]perl-net-amqp-rabbitmq-2.40011-r0.apk2024-06-24 04:16 79K
[   ]gotify-openrc-2.4.0-r0.apk2024-06-23 23:18 1.8K
[   ]gotify-2.4.0-r0.apk2024-06-23 23:18 9.1M
[   ]smile-lang-2.9.5-r0.apk2024-06-23 22:58 23K
[   ]smile-2.9.5-r0.apk2024-06-23 22:58 693K
[   ]gotify-cli-2.2.3-r0.apk2024-06-23 22:45 3.6M
[   ]cargo-crev-0.25.9-r0.apk2024-06-23 20:36 6.3M
[   ]py3-limits-pyc-3.13.0-r0.apk2024-06-23 18:43 72K
[   ]py3-limits-3.13.0-r0.apk2024-06-23 18:43 33K
[   ]libretro-ppsspp-0_git20210516-r13.apk2024-06-23 08:49 2.2M
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-06-23 07:04 16K
[   ]pegasus-frontend-16_alpha-r0.apk2024-06-23 07:04 1.2M
[   ]icesprog-udev-0_git20240108-r0.apk2024-06-23 05:08 1.6K
[   ]icesprog-0_git20240108-r0.apk2024-06-23 05:08 9.3K
[   ]py3-apio-pyc-0.9.5-r0.apk2024-06-23 05:00 77K
[   ]py3-apio-0.9.5-r0.apk2024-06-23 05:00 72K
[   ]s-postgray-doc-0.8.3-r0.apk2024-06-23 04:52 9.3K
[   ]s-postgray-0.8.3-r0.apk2024-06-23 04:52 50K
[   ]gst-rtsp-server-dev-1.24.5-r0.apk2024-06-22 23:40 94K
[   ]gst-rtsp-server-1.24.5-r0.apk2024-06-22 23:40 239K
[   ]fcitx5-lang-5.1.10-r0.apk2024-06-22 23:22 153K
[   ]fcitx5-dev-5.1.10-r0.apk2024-06-22 23:22 135K
[   ]fcitx5-5.1.10-r0.apk2024-06-22 23:22 8.9M
[   ]fileshelter-openrc-5.1.2-r5.apk2024-06-22 23:17 1.4K
[   ]fileshelter-5.1.2-r5.apk2024-06-22 23:17 375K
[   ]flawz-zsh-completion-0.2.2-r0.apk2024-06-22 23:06 2.0K
[   ]flawz-fish-completion-0.2.2-r0.apk2024-06-22 23:06 1.7K
[   ]flawz-doc-0.2.2-r0.apk2024-06-22 23:06 5.7K
[   ]flawz-bash-completion-0.2.2-r0.apk2024-06-22 23:06 1.9K
[   ]flawz-0.2.2-r0.apk2024-06-22 23:06 1.3M
[   ]mpop-vim-1.4.19-r0.apk2024-06-22 23:02 2.4K
[   ]mpop-lang-1.4.19-r0.apk2024-06-22 23:02 130K
[   ]mpop-doc-1.4.19-r0.apk2024-06-22 23:02 33K
[   ]mpop-1.4.19-r0.apk2024-06-22 23:02 71K
[   ]homebank-lang-5.8.1-r0.apk2024-06-22 23:02 919K
[   ]homebank-5.8.1-r0.apk2024-06-22 23:02 1.9M
[   ]py3-puremagic-pyc-1.25-r0.apk2024-06-22 22:37 11K
[   ]py3-puremagic-1.25-r0.apk2024-06-22 22:37 39K
[   ]u1db-qt-0.1.7-r1.apk2024-06-22 12:58 99K
[   ]sysls-2-r2.apk2024-06-22 12:58 5.7K
[   ]suru-icon-theme-20.05.1_git20221222-r1.apk2024-06-22 12:58 3.0M
[   ]rio-terminfo-0.1.1-r0.apk2024-06-22 12:58 3.1K
[   ]rio-0.1.1-r0.apk2024-06-22 12:58 7.0M
[   ]repowerd-openrc-2023.07-r2.apk2024-06-22 12:58 1.5K
[   ]repowerd-2023.07-r2.apk2024-06-22 12:58 935K
[   ]release-plz-zsh-completion-0.3.74-r0.apk2024-06-22 12:58 4.0K
[   ]release-plz-fish-completion-0.3.74-r0.apk2024-06-22 12:58 3.4K
[   ]release-plz-doc-0.3.74-r0.apk2024-06-22 12:58 3.9K
[   ]release-plz-bash-completion-0.3.74-r0.apk2024-06-22 12:58 2.4K
[   ]release-plz-0.3.74-r0.apk2024-06-22 12:58 6.2M
[   ]qtmir-dev-0.7.2-r1.apk2024-06-22 12:58 6.6K
[   ]qtmir-0.7.2-r1.apk2024-06-22 12:58 555K
[   ]qqc2-suru-style-0.20230206-r1.apk2024-06-22 12:58 175K
[   ]qdjango-dev-0.6.2-r1.apk2024-06-22 12:58 14K
[   ]qdjango-0.6.2-r1.apk2024-06-22 12:58 105K
[   ]py3-sphinx-autoapi-pyc-3.1.2-r0.apk2024-06-22 12:58 56K
[   ]py3-sphinx-autoapi-3.1.2-r0.apk2024-06-22 12:58 31K
[   ]py3-poetry-dynamic-versioning-pyc-1.4.0-r0.apk2024-06-22 12:58 24K
[   ]py3-poetry-dynamic-versioning-1.4.0-r0.apk2024-06-22 12:58 19K
[   ]php81-pecl-mongodb-1.19.3-r0.apk2024-06-22 12:58 795K
[   ]persistent-cache-cpp-doc-1.0.7-r2.apk2024-06-22 12:58 3.0K
[   ]persistent-cache-cpp-dev-1.0.7-r2.apk2024-06-22 12:58 18K
[   ]persistent-cache-cpp-1.0.7-r2.apk2024-06-22 12:58 47K
[   ]lomiri-url-dispatcher-lang-0.1.3-r2.apk2024-06-22 12:58 21K
[   ]lomiri-url-dispatcher-dev-0.1.3-r2.apk2024-06-22 12:58 3.1K
[   ]lomiri-url-dispatcher-0.1.3-r2.apk2024-06-22 12:58 46K
[   ]lomiri-ui-toolkit-lang-1.3.5100-r1.apk2024-06-22 12:58 99K
[   ]lomiri-ui-toolkit-dev-1.3.5100-r1.apk2024-06-22 12:58 173K
[   ]lomiri-ui-toolkit-1.3.5100-r1.apk2024-06-22 12:58 1.3M
[   ]lomiri-ui-extras-lang-0.6.3-r1.apk2024-06-22 12:58 55K
[   ]lomiri-ui-extras-0.6.3-r1.apk2024-06-22 12:58 267K
[   ]lomiri-thumbnailer-doc-3.0.3-r2.apk2024-06-22 12:58 1.2K
[   ]lomiri-thumbnailer-dev-3.0.3-r2.apk2024-06-22 12:58 4.9K
[   ]lomiri-thumbnailer-3.0.3-r2.apk2024-06-22 12:58 229K
[   ]lomiri-terminal-app-lang-2.0.2-r1.apk2024-06-22 12:58 64K
[   ]lomiri-terminal-app-doc-2.0.2-r1.apk2024-06-22 12:58 2.4K
[   ]lomiri-terminal-app-2.0.2-r1.apk2024-06-22 12:58 65K
[   ]lomiri-telephony-service-lang-0.5.3-r1.apk2024-06-22 12:58 99K
[   ]lomiri-telephony-service-0.5.3-r1.apk2024-06-22 12:58 1.0M
[   ]lomiri-settings-components-lang-1.1.1-r1.apk2024-06-22 12:58 100K
[   ]lomiri-settings-components-1.1.1-r1.apk2024-06-22 12:58 224K
[   ]lomiri-schemas-0.1.4-r2.apk2024-06-22 12:58 11K
[   ]lomiri-notifications-1.3.0-r1.apk2024-06-22 12:58 99K
[   ]lomiri-libusermetrics-lang-1.3.2-r1.apk2024-06-22 12:58 46K
[   ]lomiri-libusermetrics-doc-1.3.2-r1.apk2024-06-22 12:58 229K
[   ]lomiri-libusermetrics-dev-1.3.2-r1.apk2024-06-22 12:58 7.8K
[   ]lomiri-libusermetrics-1.3.2-r1.apk2024-06-22 12:58 182K
[   ]lomiri-indicator-network-lang-1.0.2-r2.apk2024-06-22 12:58 168K
[   ]lomiri-indicator-network-doc-1.0.2-r2.apk2024-06-22 12:58 1.8K
[   ]lomiri-indicator-network-dev-1.0.2-r2.apk2024-06-22 12:58 9.6K
[   ]lomiri-indicator-network-1.0.2-r2.apk2024-06-22 12:58 624K
[   ]lomiri-history-service-dev-0.5-r1.apk2024-06-22 12:58 12K
[   ]lomiri-history-service-0.5-r1.apk2024-06-22 12:58 367K
[   ]lomiri-content-hub-lang-1.1.1-r1.apk2024-06-22 12:58 41K
[   ]lomiri-content-hub-doc-1.1.1-r1.apk2024-06-22 12:58 900K
[   ]lomiri-content-hub-dev-1.1.1-r1.apk2024-06-22 12:58 11K
[   ]lomiri-content-hub-1.1.1-r1.apk2024-06-22 12:58 285K
[   ]lomiri-clock-app-lang-4.0.3-r1.apk2024-06-22 12:58 399K
[   ]lomiri-clock-app-4.0.3-r1.apk2024-06-22 12:58 226K
[   ]lomiri-app-launch-dev-0.1.9-r3.apk2024-06-22 12:58 20K
[   ]lomiri-app-launch-0.1.9-r3.apk2024-06-22 12:58 372K
[   ]lomiri-api-dev-0.2.1-r1.apk2024-06-22 12:58 33K
[   ]lomiri-api-0.2.1-r1.apk2024-06-22 12:58 35K
[   ]lomiri-action-api-dev-1.1.3-r1.apk2024-06-22 12:58 5.1K
[   ]lomiri-action-api-1.1.3-r1.apk2024-06-22 12:58 84K
[   ]litehtml-static-0.9-r0.apk2024-06-22 12:58 575K
[   ]litehtml-dev-0.9-r0.apk2024-06-22 12:58 44K
[   ]litehtml-0.9-r0.apk2024-06-22 12:58 353K
[   ]libqtdbustest-0.3.2-r1.apk2024-06-22 12:58 35K
[   ]libqtdbusmock-0.9.1-r1.apk2024-06-22 12:58 73K
[   ]libqofono-qt6-0.123-r1.apk2024-06-22 12:58 436K
[   ]libqofono-qt5-0.123-r1.apk2024-06-22 12:58 296K
[   ]libqofono-dev-0.123-r1.apk2024-06-22 12:58 46K
[   ]libqofono-0.123-r1.apk2024-06-22 12:58 1.2K
[   ]icestorm-0_git20240517-r0.apk2024-06-22 12:58 17M
[   ]gsettings-qt-dev-0.2_git20220807-r1.apk2024-06-22 12:58 3.3K
[   ]gsettings-qt-0.2_git20220807-r1.apk2024-06-22 12:58 48K
[   ]gmenuharness-dev-0.1.4-r1.apk2024-06-22 12:58 4.0K
[   ]gmenuharness-0.1.4-r1.apk2024-06-22 12:58 40K
[   ]geonames-lang-0.3.1-r2.apk2024-06-22 12:58 4.6M
[   ]geonames-doc-0.3.1-r2.apk2024-06-22 12:58 12K
[   ]geonames-dev-0.3.1-r2.apk2024-06-22 12:58 2.8K
[   ]geonames-0.3.1-r2.apk2024-06-22 12:58 827K
[   ]click-pyc-0.5.2-r2.apk2024-06-22 12:58 178K
[   ]click-doc-0.5.2-r2.apk2024-06-22 12:58 3.1K
[   ]click-dev-0.5.2-r2.apk2024-06-22 12:58 9.0K
[   ]click-0.5.2-r2.apk2024-06-22 12:58 165K
[   ]biometryd-dev-0.3.1-r2.apk2024-06-22 12:58 14K
[   ]biometryd-0.3.1-r2.apk2024-06-22 12:58 325K
[   ]task3-zsh-completion-3.0.2-r0.apk2024-06-21 12:41 4.5K
[   ]task3-fish-completion-3.0.2-r0.apk2024-06-21 12:41 5.8K
[   ]task3-doc-3.0.2-r0.apk2024-06-21 12:41 244K
[   ]task3-bash-completion-3.0.2-r0.apk2024-06-21 12:41 3.3K
[   ]task3-3.0.2-r0.apk2024-06-21 12:41 4.5M
[   ]kine-doc-0.10.1-r7.apk2024-06-21 08:22 4.9K
[   ]kine-0.10.1-r7.apk2024-06-21 08:22 7.2M
[   ]avr-libc-git-doc-0_git20240410-r0.apk2024-06-21 06:45 24K
[   ]avr-libc-git-0_git20240410-r0.apk2024-06-21 06:45 17M
[   ]mtree-portable-doc-0_git20220519-r0.apk2024-06-20 16:36 11K
[   ]mtree-portable-0_git20220519-r0.apk2024-06-20 16:36 25K
[   ]libnbcompat-dev-1.0.2-r0.apk2024-06-20 16:36 68K
[   ]libnbcompat-1.0.2-r0.apk2024-06-20 16:36 34K
[   ]goxel-0.14.0-r0.apk2024-06-20 14:56 1.5M
[   ]komikku-pyc-1.48.1-r0.apk2024-06-20 10:49 660K
[   ]komikku-lang-1.48.1-r0.apk2024-06-20 10:49 192K
[   ]komikku-1.48.1-r0.apk2024-06-20 10:49 1.0M
[   ]perl-mce-doc-1.897-r0.apk2024-06-20 05:11 170K
[   ]perl-mce-1.897-r0.apk2024-06-20 05:11 135K
[   ]linuxptp-tz2alt-4.3-r0.apk2024-06-20 04:05 20K
[   ]linuxptp-ts2phc-4.3-r0.apk2024-06-20 04:05 37K
[   ]linuxptp-timemaster-4.3-r0.apk2024-06-20 04:05 17K
[   ]linuxptp-ptp4l-4.3-r0.apk2024-06-20 04:05 79K
[   ]linuxptp-pmc-4.3-r0.apk2024-06-20 04:05 36K
[   ]linuxptp-phc_ctl-4.3-r0.apk2024-06-20 04:05 10K
[   ]linuxptp-phc2sys-4.3-r0.apk2024-06-20 04:05 38K
[   ]linuxptp-nsm-4.3-r0.apk2024-06-20 04:05 32K
[   ]linuxptp-hwstamp_ctl-4.3-r0.apk2024-06-20 04:05 4.6K
[   ]linuxptp-doc-4.3-r0.apk2024-06-20 04:05 38K
[   ]linuxptp-4.3-r0.apk2024-06-20 04:05 1.2K
[   ]fpc-stage0-3.2.2-r3.apk2024-06-20 03:04 6.8M
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-06-19 23:05 5.2K
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-06-19 23:05 4.5K
[   ]rattler-build-doc-0.18.0-r0.apk2024-06-19 23:05 6.5K
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-06-19 23:05 3.4K
[   ]rattler-build-0.18.0-r0.apk2024-06-19 23:05 6.1M
[   ]chicago95-icons-3.0.1_git20240619-r0.apk2024-06-19 21:34 12M
[   ]chicago95-fonts-3.0.1_git20240619-r0.apk2024-06-19 21:34 215K
[   ]chicago95-3.0.1_git20240619-r0.apk2024-06-19 21:34 481K
[   ]dnscontrol-doc-4.12.0-r0.apk2024-06-19 21:15 2.0K
[   ]dnscontrol-4.12.0-r0.apk2024-06-19 21:15 13M
[   ]yosys-dev-0.42-r0.apk2024-06-19 18:03 118K
[   ]yosys-0.42-r0.apk2024-06-19 18:03 20M
[   ]py3-yosys-0.42-r0.apk2024-06-19 18:03 1.5K
[   ]vale-doc-3.6.0-r0.apk2024-06-19 14:32 2.0K
[   ]vale-3.6.0-r0.apk2024-06-19 14:32 9.5M
[   ]elf_diff-pyc-0.7.1-r2.apk2024-06-19 07:24 108K
[   ]elf_diff-0.7.1-r2.apk2024-06-19 07:24 94K
[   ]telegram-bot-api-7.5-r0.apk2024-06-19 04:13 7.0M
[   ]grommunio-web-3.8-r2.apk2024-06-18 14:09 16M
[   ]grommunio-sync-2.0_git20240327-r3.apk2024-06-18 14:09 324K
[   ]grommunio-index-1.0-r2.apk2024-06-18 14:09 46K
[   ]grommunio-dav-2.0_git20240327-r3.apk2024-06-18 14:09 697K
[   ]grommunio-admin-web-2.9.0-r3.apk2024-06-18 14:09 3.5M
[   ]grommunio-admin-api-openrc-1.15-r3.apk2024-06-18 14:09 1.5K
[   ]grommunio-admin-api-doc-1.15-r3.apk2024-06-18 14:09 24K
[   ]grommunio-admin-api-bash-completion-1.15-r3.apk2024-06-18 14:09 1.9K
[   ]grommunio-admin-api-1.15-r3.apk2024-06-18 14:09 236K
[   ]py3-tokenizers-pyc-0.19.1-r0.apk2024-06-18 13:56 29K
[   ]py3-tokenizers-0.19.1-r0.apk2024-06-18 13:56 1.6M
[   ]sc-controller-pyc-0.4.8.13-r1.apk2024-06-18 05:34 873K
[   ]sc-controller-0.4.8.13-r1.apk2024-06-18 05:34 1.9M
[   ]batmon-0.0.1-r0.apk2024-06-17 23:25 483K
[   ]decoder-lang-0.5.1-r0.apk2024-06-17 23:19 59K
[   ]decoder-0.5.1-r0.apk2024-06-17 23:19 2.1M
[   ]mautrix-gmessages-openrc-0.4.2-r0.apk2024-06-17 16:58 1.7K
[   ]mautrix-gmessages-doc-0.4.2-r0.apk2024-06-17 16:58 13K
[   ]mautrix-gmessages-0.4.2-r0.apk2024-06-17 16:58 5.9M
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-06-17 10:42 16K
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-06-17 10:42 1.8K
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-06-17 10:42 98K
[   ]zapret-0.0.0_git20220125-r1.apk2024-06-17 10:42 90K
[   ]wlvncc-0.0.0_git20230105-r1.apk2024-06-17 10:42 68K
[   ]nvim-treesitter-doc-0.0.0_git20221013-r1.apk2024-06-17 10:42 18K
[   ]nvim-treesitter-0.0.0_git20221013-r1.apk2024-06-17 10:42 193K
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-06-17 10:42 21K
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-06-17 10:42 45K
[   ]nvim-lualine-doc-0.0.0_git20221006-r1.apk2024-06-17 10:42 19K
[   ]nvim-lualine-0.0.0_git20221006-r1.apk2024-06-17 10:42 59K
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-06-17 10:42 2.5K
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-06-17 10:42 9.9K
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-06-17 10:42 1.7K
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-06-17 10:42 3.5K
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-06-17 10:42 1.8K
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-06-17 10:42 3.3K
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-06-17 10:42 2.3K
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-06-17 10:42 3.2K
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-06-17 10:42 10K
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-06-17 10:42 1.5K
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-06-17 10:42 3.1K
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-06-17 10:42 3.9K
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-06-17 10:42 7.5K
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-06-17 10:42 55K
[   ]endless-sky-doc-0.10.2-r0.apk2024-06-17 10:42 36K
[   ]endless-sky-0.10.2-r0.apk2024-06-17 10:42 242M
[   ]plattenalbum-lang-2.1.1-r0.apk2024-06-17 10:33 21K
[   ]plattenalbum-2.1.1-r0.apk2024-06-17 10:33 33K
[   ]py3-openwisp-utils-pyc-1.0.4-r3.apk2024-06-17 09:35 42K
[   ]py3-openwisp-utils-1.0.4-r3.apk2024-06-17 09:35 492K
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r5.apk2024-06-17 09:35 15K
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r5.apk2024-06-17 09:35 14K
[   ]soqt-doc-1.6.0-r1.apk2024-06-17 09:32 1.5K
[   ]soqt-dev-1.6.0-r1.apk2024-06-17 09:32 98K
[   ]soqt-1.6.0-r1.apk2024-06-17 09:32 231K
[   ]py3-trimesh-pyc-3.22.1-r2.apk2024-06-17 09:32 731K
[   ]py3-trimesh-3.22.1-r2.apk2024-06-17 09:32 629K
[   ]py3-pivy-0.6.9_alpha0-r1.apk2024-06-17 09:32 1.9M
[   ]py3-numpy-stl-pyc-3.0.1-r3.apk2024-06-17 09:32 27K
[   ]py3-numpy-stl-3.0.1-r3.apk2024-06-17 09:32 19K
[   ]py3-mapbox-earcut-1.0.1-r1.apk2024-06-17 09:32 64K
[   ]py3-arcus-5.3.0-r1.apk2024-06-17 09:32 94K
[   ]libmedc-python-pyc-4.1.1-r4.apk2024-06-17 09:32 475K
[   ]libmedc-python-4.1.1-r4.apk2024-06-17 09:32 1.8M
[   ]libmedc-doc-4.1.1-r4.apk2024-06-17 09:32 41M
[   ]libmedc-dev-4.1.1-r4.apk2024-06-17 09:32 37K
[   ]libmedc-4.1.1-r4.apk2024-06-17 09:32 546K
[   ]gmsh-py-4.12.2-r2.apk2024-06-17 09:32 6.5K
[   ]gmsh-doc-4.12.2-r2.apk2024-06-17 09:32 1.9M
[   ]gmsh-dbg-4.12.2-r2.apk2024-06-17 09:32 146M
[   ]gmsh-4.12.2-r2.apk2024-06-17 09:32 9.7M
[   ]fdm-materials-5.2.2-r1.apk2024-06-17 09:32 59K
[   ]coin-dev-4.0.0-r7.apk2024-06-17 09:32 353K
[   ]coin-4.0.0-r7.apk2024-06-17 09:32 3.2M
[   ]dsp-doc-1.9-r2.apk2024-06-17 08:21 6.9K
[   ]dsp-1.9-r2.apk2024-06-17 08:21 101K
[   ]today-doc-6.2.0-r0.apk2024-06-16 23:36 3.0K
[   ]today-6.2.0-r0.apk2024-06-16 23:36 2.9K
[   ]watchmate-0.5.2-r0.apk2024-06-16 23:36 2.4M
[   ]pixi-zsh-completion-0.24.2-r0.apk2024-06-16 23:11 10K
[   ]pixi-fish-completion-0.24.2-r0.apk2024-06-16 23:11 9.8K
[   ]pixi-doc-0.24.2-r0.apk2024-06-16 23:11 6.6K
[   ]pixi-bash-completion-0.24.2-r0.apk2024-06-16 23:11 6.9K
[   ]pixi-0.24.2-r0.apk2024-06-16 23:11 9.1M
[   ]rosenpass-0.2.2-r0.apk2024-06-16 17:41 1.0M
[   ]spacectl-zsh-completion-1.0.0-r0.apk2024-06-16 14:54 1.5K
[   ]spacectl-fish-completion-1.0.0-r0.apk2024-06-16 14:54 5.8K
[   ]spacectl-doc-1.0.0-r0.apk2024-06-16 14:54 2.0K
[   ]spacectl-bash-completion-1.0.0-r0.apk2024-06-16 14:54 1.8K
[   ]spacectl-1.0.0-r0.apk2024-06-16 14:54 4.7M
[   ]libb64-doc-2.0.0.1-r0.apk2024-06-16 13:26 7.8K
[   ]libb64-dev-2.0.0.1-r0.apk2024-06-16 13:26 5.6K
[   ]libb64-2.0.0.1-r0.apk2024-06-16 13:26 4.6K
[   ]py3-dt-schema-pyc-2024.05-r0.apk2024-06-16 01:52 48K
[   ]py3-dt-schema-2024.05-r0.apk2024-06-16 01:52 79K
[   ]hiawatha-openrc-11.6-r0.apk2024-06-15 09:34 1.4K
[   ]hiawatha-letsencrypt-11.6-r0.apk2024-06-15 09:34 17K
[   ]hiawatha-doc-11.6-r0.apk2024-06-15 09:34 21K
[   ]hiawatha-11.6-r0.apk2024-06-15 09:34 227K
[   ]py3-svgpath-pyc-6.3-r3.apk2024-06-15 07:13 21K
[   ]py3-svgpath-6.3-r3.apk2024-06-15 07:13 17K
[   ]py3-pyinstrument-pyc-4.6.2-r2.apk2024-06-15 07:13 85K
[   ]py3-pyinstrument-4.6.2-r2.apk2024-06-15 07:13 79K
[   ]dewduct-0.2.3-r0.apk2024-06-14 22:04 1.2M
[   ]slidge-pyc-0.1.3-r0.apk2024-06-14 05:22 285K
[   ]slidge-openrc-0.1.3-r0.apk2024-06-14 05:22 2.1K
[   ]slidge-matridge-pyc-0.1.0-r0.apk2024-06-14 05:22 38K
[   ]slidge-matridge-openrc-0.1.0-r0.apk2024-06-14 05:22 1.3K
[   ]slidge-matridge-0.1.0-r0.apk2024-06-14 05:22 29K
[   ]slidge-doc-0.1.3-r0.apk2024-06-14 05:22 4.3K
[   ]slidge-0.1.3-r0.apk2024-06-14 05:22 144K
[   ]forgejo-openrc-7.0.4-r0.apk2024-06-13 23:13 1.6K
[   ]forgejo-7.0.4-r0.apk2024-06-13 23:13 38M
[   ]horust-doc-0.1.7-r2.apk2024-06-13 16:55 8.9K
[   ]horust-0.1.7-r2.apk2024-06-13 16:55 1.1M
[   ]g4music-lang-3.6.2-r0.apk2024-06-13 16:46 38K
[   ]g4music-3.6.2-r0.apk2024-06-13 16:46 178K
[   ]transito-doc-0.5.0-r0.apk2024-06-13 15:38 636K
[   ]transito-0.5.0-r0.apk2024-06-13 15:38 6.7M
[   ]ruby-build-runtime-20240612-r0.apk2024-06-13 07:03 1.2K
[   ]ruby-build-doc-20240612-r0.apk2024-06-13 07:03 4.4K
[   ]ruby-build-20240612-r0.apk2024-06-13 07:03 105K
[   ]xfe-xfw-1.46.2-r0.apk2024-06-13 05:47 292K
[   ]xfe-xfp-1.46.2-r0.apk2024-06-13 05:47 250K
[   ]xfe-xfi-1.46.2-r0.apk2024-06-13 05:47 275K
[   ]xfe-lang-1.46.2-r0.apk2024-06-13 05:47 533K
[   ]xfe-doc-1.46.2-r0.apk2024-06-13 05:47 3.7K
[   ]xfe-1.46.2-r0.apk2024-06-13 05:47 1.3M
[   ]svls-doc-0.2.12-r0.apk2024-06-13 05:47 2.0K
[   ]svls-0.2.12-r0.apk2024-06-13 05:47 3.7M
[   ]gtkwave-doc-3.3.119-r0.apk2024-06-13 05:47 27K
[   ]gtkwave-3.3.119-r0.apk2024-06-13 05:47 2.6M
[   ]ttyper-1.5.0-r0.apk2024-06-13 05:34 603K
[   ]sydbox-vim-3.21.3-r0.apk2024-06-12 13:19 5.0K
[   ]sydbox-utils-3.21.3-r0.apk2024-06-12 13:19 6.7M
[   ]sydbox-oci-3.21.3-r0.apk2024-06-12 13:19 1.9M
[   ]sydbox-doc-3.21.3-r0.apk2024-06-12 13:19 84K
[   ]sydbox-3.21.3-r0.apk2024-06-12 13:19 1.5M
[   ]gmid-openrc-2.0.5-r0.apk2024-06-11 22:56 2.0K
[   ]gmid-doc-2.0.5-r0.apk2024-06-11 22:56 14K
[   ]gmid-2.0.5-r0.apk2024-06-11 22:56 234K
[   ]regal-zsh-completion-0.23.1-r0.apk2024-06-11 19:36 3.8K
[   ]regal-fish-completion-0.23.1-r0.apk2024-06-11 19:36 4.1K
[   ]regal-bash-completion-0.23.1-r0.apk2024-06-11 19:36 4.8K
[   ]regal-0.23.1-r0.apk2024-06-11 19:36 6.9M
[   ]lomiri-weather-app-lang-5.13.5-r1.apk2024-06-11 19:30 287K
[   ]lomiri-weather-app-5.13.5-r1.apk2024-06-11 19:30 235K
[   ]mediastreamer2-doc-5.3.41-r0.apk2024-06-11 15:12 109K
[   ]mediastreamer2-dev-5.3.41-r0.apk2024-06-11 15:12 112K
[   ]mediastreamer2-5.3.41-r0.apk2024-06-11 15:12 385K
[   ]perl-cpan-changes-doc-0.500004-r0.apk2024-06-11 14:55 18K
[   ]perl-cpan-changes-0.500004-r0.apk2024-06-11 14:55 13K
[   ]py3-pillow_heif-pyc-0.16.0-r0.apk2024-06-11 13:29 35K
[   ]py3-pillow_heif-0.16.0-r0.apk2024-06-11 13:29 44K
[   ]sshuttle-pyc-1.1.2-r0.apk2024-06-11 10:26 100K
[   ]sshuttle-doc-1.1.2-r0.apk2024-06-11 10:26 8.2K
[   ]sshuttle-1.1.2-r0.apk2024-06-11 10:26 62K
[   ]reaction-tools-1.4.1-r0.apk2024-06-11 10:26 32K
[   ]reaction-openrc-1.4.1-r0.apk2024-06-11 10:26 1.6K
[   ]reaction-1.4.1-r0.apk2024-06-11 10:26 2.1M
[   ]quakespasm-0.96.2-r0.apk2024-06-11 10:26 557K
[   ]perl-module-generic-doc-0.37.2-r0.apk2024-06-11 10:26 202K
[   ]perl-module-generic-0.37.2-r0.apk2024-06-11 10:26 253K
[   ]zrepl-zsh-completion-0.6.1-r5.apk2024-06-11 09:16 1.7K
[   ]zrepl-openrc-0.6.1-r5.apk2024-06-11 09:16 1.4K
[   ]zrepl-bash-completion-0.6.1-r5.apk2024-06-11 09:16 4.2K
[   ]zrepl-0.6.1-r5.apk2024-06-11 09:16 6.1M
[   ]zot-openrc-2.0.4-r3.apk2024-06-11 09:16 1.9K
[   ]zot-exporter-2.0.4-r3.apk2024-06-11 09:16 4.2M
[   ]zot-doc-2.0.4-r3.apk2024-06-11 09:16 8.4K
[   ]zot-cli-zsh-completion-2.0.4-r3.apk2024-06-11 09:16 3.8K
[   ]zot-cli-fish-completion-2.0.4-r3.apk2024-06-11 09:16 4.0K
[   ]zot-cli-bash-completion-2.0.4-r3.apk2024-06-11 09:16 4.8K
[   ]zot-cli-2.0.4-r3.apk2024-06-11 09:16 7.6M
[   ]zot-2.0.4-r3.apk2024-06-11 09:16 59M
[   ]zk-doc-0.14.1-r1.apk2024-06-11 09:16 437K
[   ]zk-0.14.1-r1.apk2024-06-11 09:16 4.6M
[   ]yubikey-agent-0.1.6-r6.apk2024-06-11 09:16 1.6M
[   ]ytt-0.47.0-r4.apk2024-06-11 09:16 4.0M
[   ]yarr-openrc-2.4-r7.apk2024-06-11 09:16 1.8K
[   ]yarr-doc-2.4-r7.apk2024-06-11 09:16 7.4K
[   ]yarr-2.4-r7.apk2024-06-11 09:16 3.8M
[   ]yaegi-0.16.1-r2.apk2024-06-11 09:16 6.6M
[   ]xmpp-dns-0.2.4-r18.apk2024-06-11 09:16 1.6M
[   ]xcaddy-0.4.2-r1.apk2024-06-11 09:16 1.4M
[   ]wtfutil-0.43.0-r7.apk2024-06-11 09:16 18M
[   ]woodpecker-openrc-2.5.0-r1.apk2024-06-11 09:16 1.7K
[   ]woodpecker-doc-2.5.0-r1.apk2024-06-11 09:16 5.3K
[   ]woodpecker-2.5.0-r1.apk2024-06-11 09:16 37M
[   ]wl-gammarelay-0.1.1-r8.apk2024-06-11 09:16 1.4M
[   ]wireguard-go-doc-0.0.20230223-r6.apk2024-06-11 09:16 3.3K
[   ]wireguard-go-0.0.20230223-r6.apk2024-06-11 09:16 1.0M
[   ]wgcf-zsh-completion-2.2.22-r2.apk2024-06-11 09:16 3.8K
[   ]wgcf-fish-completion-2.2.22-r2.apk2024-06-11 09:16 4.0K
[   ]wgcf-bash-completion-2.2.22-r2.apk2024-06-11 09:16 4.8K
[   ]wgcf-2.2.22-r2.apk2024-06-11 09:16 3.5M
[   ]warp-s3-0.8.0-r5.apk2024-06-11 09:16 5.6M
[   ]walk-1.7.0-r1.apk2024-06-11 09:16 1.2M
[   ]volatility3-pyc-2.7.0-r0.apk2024-06-11 09:16 854K
[   ]volatility3-doc-2.7.0-r0.apk2024-06-11 09:16 2.9K
[   ]volatility3-2.7.0-r0.apk2024-06-11 09:16 465K
[   ]virter-zsh-completion-0.27.0-r2.apk2024-06-11 09:16 3.8K
[   ]virter-fish-completion-0.27.0-r2.apk2024-06-11 09:16 4.1K
[   ]virter-doc-0.27.0-r2.apk2024-06-11 09:16 15K
[   ]virter-bash-completion-0.27.0-r2.apk2024-06-11 09:16 4.8K
[   ]virter-0.27.0-r2.apk2024-06-11 09:16 5.1M
[   ]virtctl-zsh-completion-1.2.0-r2.apk2024-06-11 09:16 3.7K
[   ]virtctl-fish-completion-1.2.0-r2.apk2024-06-11 09:16 3.6K
[   ]virtctl-bash-completion-1.2.0-r2.apk2024-06-11 09:16 4.7K
[   ]virtctl-1.2.0-r2.apk2024-06-11 09:16 12M
[   ]vidcutter-pyc-6.0.5.2-r0.apk2024-06-11 09:16 1.9M
[   ]vidcutter-doc-6.0.5.2-r0.apk2024-06-11 09:16 24K
[   ]vidcutter-6.0.5.2-r0.apk2024-06-11 09:16 2.8M
[   ]vals-0.35.0-r4.apk2024-06-11 09:16 18M
[   ]usql-0.15.6-r6.apk2024-06-11 09:16 28M
[   ]upterm-zsh-completion-0.13.5-r2.apk2024-06-11 09:16 3.8K
[   ]upterm-server-openrc-0.13.5-r2.apk2024-06-11 09:16 1.6K
[   ]upterm-server-0.13.5-r2.apk2024-06-11 09:16 4.8M
[   ]upterm-doc-0.13.5-r2.apk2024-06-11 09:16 6.3K
[   ]upterm-bash-completion-0.13.5-r2.apk2024-06-11 09:16 5.3K
[   ]upterm-0.13.5-r2.apk2024-06-11 09:16 5.4M
[   ]up-0.4-r23.apk2024-06-11 09:16 1.0M
[   ]undock-0.7.0-r4.apk2024-06-11 09:16 9.0M
[   ]tty-share-2.4.0-r12.apk2024-06-11 09:16 3.2M
[   ]tty-proxy-0.0.2-r22.apk2024-06-11 09:16 2.2M
[   ]trivy-0.50.4-r2.apk2024-06-11 09:16 65M
[   ]tmpl-doc-0.4.0-r5.apk2024-06-11 09:16 2.0K
[   ]tmpl-0.4.0-r5.apk2024-06-11 09:16 2.3M
[   ]ticker-zsh-completion-4.5.14-r7.apk2024-06-11 09:16 3.5K
[   ]ticker-fish-completion-4.5.14-r7.apk2024-06-11 09:16 3.6K
[   ]ticker-bash-completion-4.5.14-r7.apk2024-06-11 09:16 4.3K
[   ]ticker-4.5.14-r7.apk2024-06-11 09:16 3.5M
[   ]thanos-openrc-0.31.0-r7.apk2024-06-11 09:16 1.7K
[   ]thanos-0.31.0-r7.apk2024-06-11 09:16 20M
[   ]tenv-zsh-completion-2.0.2-r1.apk2024-06-11 09:16 3.8K
[   ]tenv-fish-completion-2.0.2-r1.apk2024-06-11 09:16 4.1K
[   ]tenv-bash-completion-2.0.2-r1.apk2024-06-11 09:16 4.8K
[   ]tenv-2.0.2-r1.apk2024-06-11 09:16 21M
[   ]templ-0.2.663-r2.apk2024-06-11 09:16 3.5M
[   ]taskcafe-openrc-0.3.6-r7.apk2024-06-11 09:16 1.6K
[   ]taskcafe-0.3.6-r7.apk2024-06-11 09:16 13M
[   ]tanka-0.26.0-r6.apk2024-06-11 09:16 3.9M
[   ]tangctl-0_git20220412-r17.apk2024-06-11 09:16 2.2M
[   ]stern-zsh-completion-1.29.0-r2.apk2024-06-11 09:16 3.8K
[   ]stern-fish-completion-1.29.0-r2.apk2024-06-11 09:16 4.1K
[   ]stern-bash-completion-1.29.0-r2.apk2024-06-11 09:16 5.5K
[   ]stern-1.29.0-r2.apk2024-06-11 09:16 15M
[   ]stayrtr-openrc-0.5.1-r4.apk2024-06-11 09:15 1.7K
[   ]stayrtr-0.5.1-r4.apk2024-06-11 09:15 9.1M
[   ]sshsrv-1.0-r6.apk2024-06-11 09:15 887K
[   ]ssh-cert-authority-2.0.0-r20.apk2024-06-11 09:15 4.4M
[   ]speedtest_exporter-openrc-0.3.2-r9.apk2024-06-11 09:15 1.6K
[   ]speedtest_exporter-0.3.2-r9.apk2024-06-11 09:15 3.4M
[   ]speedtest-go-openrc-1.1.5-r9.apk2024-06-11 09:15 1.5K
[   ]speedtest-go-doc-1.1.5-r9.apk2024-06-11 09:15 4.2K
[   ]speedtest-go-1.1.5-r9.apk2024-06-11 09:15 4.9M
[   ]sos-0.8-r25.apk2024-06-11 09:15 2.4M
[   ]soju-utils-0.8.0-r1.apk2024-06-11 09:15 8.0M
[   ]soju-openrc-0.8.0-r1.apk2024-06-11 09:15 1.7K
[   ]soju-doc-0.8.0-r1.apk2024-06-11 09:15 12K
[   ]soju-0.8.0-r1.apk2024-06-11 09:15 7.6M
[   ]snowflake-doc-2.9.2-r3.apk2024-06-11 09:15 2.6K
[   ]snowflake-2.9.2-r3.apk2024-06-11 09:15 9.2M
[   ]sish-openrc-2.16.0-r1.apk2024-06-11 09:15 1.7K
[   ]sish-2.16.0-r1.apk2024-06-11 09:15 7.2M
[   ]sipexer-1.1.0-r7.apk2024-06-11 09:15 2.4M
[   ]sing-box-zsh-completion-1.8.4-r5.apk2024-06-11 09:15 3.8K
[   ]sing-box-openrc-1.8.4-r5.apk2024-06-11 09:15 1.5K
[   ]sing-box-fish-completion-1.8.4-r5.apk2024-06-11 09:15 4.1K
[   ]sing-box-bash-completion-1.8.4-r5.apk2024-06-11 09:15 4.9K
[   ]sing-box-1.8.4-r5.apk2024-06-11 09:15 9.2M
[   ]secsipidx-libs-1.3.2-r6.apk2024-06-11 09:15 2.1M
[   ]secsipidx-dev-1.3.2-r6.apk2024-06-11 09:15 4.9M
[   ]secsipidx-1.3.2-r6.apk2024-06-11 09:15 2.3M
[   ]scalingo-1.30.0-r4.apk2024-06-11 09:15 4.8M
[   ]scaleway-cli-zsh-completion-2.29.0-r2.apk2024-06-11 09:15 1.5K
[   ]scaleway-cli-fish-completion-2.29.0-r2.apk2024-06-11 09:15 1.4K
[   ]scaleway-cli-bash-completion-2.29.0-r2.apk2024-06-11 09:15 1.5K
[   ]scaleway-cli-2.29.0-r2.apk2024-06-11 09:15 12M
[   ]satellite-openrc-1.0.0-r22.apk2024-06-11 09:15 1.7K
[   ]satellite-doc-1.0.0-r22.apk2024-06-11 09:15 2.8K
[   ]satellite-1.0.0-r22.apk2024-06-11 09:15 1.8M
[   ]s5cmd-2.2.2-r4.apk2024-06-11 09:15 4.5M
[   ]ruuvi-prometheus-openrc-0.1.7-r4.apk2024-06-11 09:15 1.4K
[   ]ruuvi-prometheus-0.1.7-r4.apk2024-06-11 09:15 3.2M
[   ]rke-doc-1.4.3-r9.apk2024-06-11 09:15 2.7K
[   ]rke-1.4.3-r9.apk2024-06-11 09:15 19M
[   ]reg-0.16.1-r22.apk2024-06-11 09:15 4.1M
[   ]rcon-cli-1.6.2-r5.apk2024-06-11 09:15 2.3M
[   ]qbittorrent-cli-2.0.0-r5.apk2024-06-11 09:15 4.8M
[   ]py3-marshmallow-pyc-3.21.3-r0.apk2024-06-11 09:15 82K
[   ]py3-marshmallow-3.21.3-r0.apk2024-06-11 09:15 47K
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-06-11 09:15 35K
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-06-11 09:15 27K
[   ]protoconf-0.1.7-r7.apk2024-06-11 09:15 6.9M
[   ]protoc-gen-go-1.34.0-r2.apk2024-06-11 09:15 1.7M
[   ]prometheus-unbound-exporter-openrc-0.4.1-r7.apk2024-06-11 09:15 1.7K
[   ]prometheus-unbound-exporter-0.4.1-r7.apk2024-06-11 09:15 3.2M
[   ]prometheus-smokeping-prober-openrc-0.7.1-r6.apk2024-06-11 09:15 1.8K
[   ]prometheus-smokeping-prober-0.7.1-r6.apk2024-06-11 09:15 4.0M
[   ]prometheus-smartctl-exporter-openrc-0.12.0-r4.apk2024-06-11 09:15 1.7K
[   ]prometheus-smartctl-exporter-0.12.0-r4.apk2024-06-11 09:15 3.8M
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r22.apk2024-06-11 09:15 1.4K
[   ]prometheus-rethinkdb-exporter-1.0.1-r22.apk2024-06-11 09:15 3.6M
[   ]prometheus-podman-exporter-1.11.0-r2.apk2024-06-11 09:15 14M
[   ]prometheus-ceph-exporter-openrc-4.2.3-r3.apk2024-06-11 09:15 1.7K
[   ]prometheus-ceph-exporter-4.2.3-r3.apk2024-06-11 09:15 3.5M
[   ]prometheus-bind-exporter-openrc-0.7.0-r5.apk2024-06-11 09:15 1.6K
[   ]prometheus-bind-exporter-0.7.0-r5.apk2024-06-11 09:15 4.1M
[   ]popeye-0.21.3-r2.apk2024-06-11 09:15 22M
[   ]pomo-doc-0.8.1-r17.apk2024-06-11 09:15 2.4K
[   ]pomo-0.8.1-r17.apk2024-06-11 09:15 1.5M
[   ]perl-conf-libconfig-doc-1.0.3-r0.apk2024-06-11 09:15 5.2K
[   ]perl-conf-libconfig-1.0.3-r0.apk2024-06-11 09:15 24K
[   ]pdfcpu-0.7.0-r3.apk2024-06-11 09:15 3.9M
[   ]pathvector-6.3.2-r7.apk2024-06-11 09:15 3.5M
[   ]oras-cli-zsh-completion-1.1.0-r4.apk2024-06-11 09:15 3.8K
[   ]oras-cli-fish-completion-1.1.0-r4.apk2024-06-11 09:15 4.0K
[   ]oras-cli-bash-completion-1.1.0-r4.apk2024-06-11 09:15 4.8K
[   ]oras-cli-1.1.0-r4.apk2024-06-11 09:15 3.3M
[   ]opcr-policy-0.2.13-r2.apk2024-06-11 09:15 7.8M
[   ]oauth2-proxy-openrc-7.6.0-r5.apk2024-06-11 09:15 1.9K
[   ]oauth2-proxy-7.6.0-r5.apk2024-06-11 09:15 7.4M
[   ]nwg-dock-0.3.9-r5.apk2024-06-11 09:15 1.5M
[   ]nwg-bar-0.1.6-r4.apk2024-06-11 09:15 1.5M
[   ]nsq-1.3.0-r4.apk2024-06-11 09:15 22M
[   ]nom-2.1.4-r2.apk2024-06-11 09:15 7.1M
[   ]mtg-openrc-2.1.7-r15.apk2024-06-11 09:15 1.6K
[   ]mtg-2.1.7-r15.apk2024-06-11 09:15 4.0M
[   ]msh-openrc-2.5.0-r6.apk2024-06-11 09:15 1.8K
[   ]msh-2.5.0-r6.apk2024-06-11 09:15 2.5M
[   ]mqtt2prometheus-0.1.7-r10.apk2024-06-11 09:15 3.9M
[   ]mobroute-doc-0.5.0-r1.apk2024-06-11 09:15 18K
[   ]mobroute-0.5.0-r1.apk2024-06-11 09:15 3.8M
[   ]mkcert-1.4.4-r13.apk2024-06-11 09:15 1.5M
[   ]mimir-openrc-2.11.0-r4.apk2024-06-11 09:15 1.6K
[   ]mimir-2.11.0-r4.apk2024-06-11 09:15 58M
[   ]mautrix-slack-openrc-0_git20230925-r5.apk2024-06-11 09:15 1.7K
[   ]mautrix-slack-doc-0_git20230925-r5.apk2024-06-11 09:15 13K
[   ]mautrix-slack-0_git20230925-r5.apk2024-06-11 09:15 5.0M
[   ]mautrix-meta-openrc-0.3.1-r2.apk2024-06-11 09:15 1.8K
[   ]mautrix-meta-doc-0.3.1-r2.apk2024-06-11 09:15 13K
[   ]mautrix-meta-0.3.1-r2.apk2024-06-11 09:15 6.8M
[   ]mautrix-discord-openrc-0.6.5-r5.apk2024-06-11 09:15 1.7K
[   ]mautrix-discord-doc-0.6.5-r5.apk2024-06-11 09:15 13K
[   ]mautrix-discord-0.6.5-r5.apk2024-06-11 09:15 5.6M
[   ]manifest-tool-2.1.6-r4.apk2024-06-11 09:15 3.8M
[   ]mangal-zsh-completion-4.0.6-r12.apk2024-06-11 09:15 3.7K
[   ]mangal-fish-completion-4.0.6-r12.apk2024-06-11 09:15 3.7K
[   ]mangal-bash-completion-4.0.6-r12.apk2024-06-11 09:15 4.7K
[   ]mangal-4.0.6-r12.apk2024-06-11 09:15 9.6M
[   ]makeclapman-doc-2.4.1-r2.apk2024-06-11 09:15 3.9K
[   ]makeclapman-2.4.1-r2.apk2024-06-11 09:15 1.1M
[   ]mailsec-check-0_git20210729-r20.apk2024-06-11 09:15 2.2M
[   ]maildir2rss-0.0.2-r6.apk2024-06-11 09:15 3.1M
[   ]mage-1.13.0-r17.apk2024-06-11 09:15 1.4M
[   ]maddy-vim-0.7.1-r4.apk2024-06-11 09:15 3.4K
[   ]maddy-openrc-0.7.1-r4.apk2024-06-11 09:15 1.7K
[   ]maddy-doc-0.7.1-r4.apk2024-06-11 09:15 2.1K
[   ]maddy-0.7.1-r4.apk2024-06-11 09:15 8.5M
[   ]lyrebird-0.2.0-r1.apk2024-06-11 09:15 3.0M
[   ]lxd-feature-scripts-5.20-r4.apk2024-06-11 09:15 1.9K
[   ]lxd-feature-openrc-5.20-r4.apk2024-06-11 09:15 2.2K
[   ]lxd-feature-doc-5.20-r4.apk2024-06-11 09:15 1.4K
[   ]lxd-feature-bash-completion-5.20-r4.apk2024-06-11 09:15 4.9K
[   ]lxd-feature-5.20-r4.apk2024-06-11 09:15 61M
[   ]linuxkit-doc-1.2.0-r3.apk2024-06-11 09:15 11K
[   ]linuxkit-1.2.0-r3.apk2024-06-11 09:15 11M
[   ]legume-doc-1.4.2-r3.apk2024-06-11 09:14 12K
[   ]legume-1.4.2-r3.apk2024-06-11 09:14 1.3M
[   ]kubepug-zsh-completion-1.7.1-r4.apk2024-06-11 09:14 3.8K
[   ]kubepug-fish-completion-1.7.1-r4.apk2024-06-11 09:14 4.1K
[   ]kubepug-bash-completion-1.7.1-r4.apk2024-06-11 09:14 4.9K
[   ]kubepug-1.7.1-r4.apk2024-06-11 09:14 15M
[   ]kubeone-zsh-completion-1.7.4-r2.apk2024-06-11 09:14 3.7K
[   ]kubeone-doc-1.7.4-r2.apk2024-06-11 09:14 20K
[   ]kubeone-bash-completion-1.7.4-r2.apk2024-06-11 09:14 6.3K
[   ]kubeone-1.7.4-r2.apk2024-06-11 09:14 22M
[   ]kubectl-oidc_login-1.28.0-r7.apk2024-06-11 09:14 4.7M
[   ]kubectl-krew-0.4.4-r6.apk2024-06-11 09:14 4.0M
[   ]kubectl-cert-manager-1.14.4-r4.apk2024-06-11 09:14 21M
[   ]kubeconform-0.6.6-r1.apk2024-06-11 09:14 2.9M
[   ]kube-no-trouble-0.7.2-r3.apk2024-06-11 09:14 12M
[   ]kopia-zsh-completion-0.17.0-r1.apk2024-06-11 09:14 1.5K
[   ]kopia-bash-completion-0.17.0-r1.apk2024-06-11 09:14 1.5K
[   ]kopia-0.17.0-r1.apk2024-06-11 09:14 12M
[   ]kompose-zsh-completion-1.31.2-r4.apk2024-06-11 09:14 6.5K
[   ]kompose-fish-completion-1.31.2-r4.apk2024-06-11 09:14 4.1K
[   ]kompose-bash-completion-1.31.2-r4.apk2024-06-11 09:14 5.3K
[   ]kompose-1.31.2-r4.apk2024-06-11 09:14 6.4M
[   ]ko-zsh-completion-0.15.2-r3.apk2024-06-11 09:14 3.7K
[   ]ko-fish-completion-0.15.2-r3.apk2024-06-11 09:14 4.0K
[   ]ko-bash-completion-0.15.2-r3.apk2024-06-11 09:14 4.8K
[   ]ko-0.15.2-r3.apk2024-06-11 09:14 8.1M
[   ]knative-client-zsh-completion-1.14.0-r2.apk2024-06-11 09:14 3.8K
[   ]knative-client-bash-completion-1.14.0-r2.apk2024-06-11 09:14 9.8K
[   ]knative-client-1.14.0-r2.apk2024-06-11 09:14 20M
[   ]kind-zsh-completion-0.22.0-r4.apk2024-06-11 09:14 3.4K
[   ]kind-fish-completion-0.22.0-r4.apk2024-06-11 09:14 3.6K
[   ]kind-bash-completion-0.22.0-r4.apk2024-06-11 09:14 5.5K
[   ]kind-0.22.0-r4.apk2024-06-11 09:14 2.3M
[   ]khinsider-2.0.7-r14.apk2024-06-11 09:14 3.0M
[   ]keybase-client-6.2.8-r4.apk2024-06-11 09:14 17M
[   ]katana-1.1.0-r3.apk2024-06-11 09:14 12M
[   ]kapow-0.7.1-r7.apk2024-06-11 09:14 3.1M
[   ]kanister-tools-zsh-completion-0.107.0-r2.apk2024-06-11 09:14 4.1K
[   ]kanister-tools-fish-completion-0.107.0-r2.apk2024-06-11 09:14 4.6K
[   ]kanister-tools-bash-completion-0.107.0-r2.apk2024-06-11 09:14 5.3K
[   ]kanister-tools-0.107.0-r2.apk2024-06-11 09:14 56M
[   ]k3sup-zsh-completion-0.13.5-r5.apk2024-06-11 09:14 3.7K
[   ]k3sup-fish-completion-0.13.5-r5.apk2024-06-11 09:14 4.0K
[   ]k3sup-bash-completion-0.13.5-r5.apk2024-06-11 09:14 4.8K
[   ]k3sup-0.13.5-r5.apk2024-06-11 09:14 2.3M
[   ]jsonnet-language-server-0.13.1-r4.apk2024-06-11 09:14 3.9M
[   ]jsonnet-bundler-0.5.1-r14.apk2024-06-11 09:14 2.8M
[   ]jfrog-cli-2.45.0-r6.apk2024-06-11 09:14 8.5M
[   ]jackal-openrc-0.64.0-r9.apk2024-06-11 09:14 1.6K
[   ]jackal-0.64.0-r9.apk2024-06-11 09:14 11M
[   ]itd-1.1.0-r7.apk2024-06-11 09:14 8.5M
[   ]imgdiff-doc-1.0.2-r20.apk2024-06-11 09:14 2.0K
[   ]imgdiff-1.0.2-r20.apk2024-06-11 09:14 905K
[   ]ijq-doc-1.1.0-r2.apk2024-06-11 09:14 3.2K
[   ]ijq-1.1.0-r2.apk2024-06-11 09:14 1.3M
[   ]hubble-cli-zsh-completion-0.13.3-r2.apk2024-06-11 09:14 3.8K
[   ]hubble-cli-fish-completion-0.13.3-r2.apk2024-06-11 09:14 4.1K
[   ]hubble-cli-bash-completion-0.13.3-r2.apk2024-06-11 09:14 4.8K
[   ]hubble-cli-0.13.3-r2.apk2024-06-11 09:14 16M
[   ]hub-zsh-completion-2.14.2-r24.apk2024-06-11 09:14 3.4K
[   ]hub-fish-completion-2.14.2-r24.apk2024-06-11 09:14 3.0K
[   ]hub-doc-2.14.2-r24.apk2024-06-11 09:14 43K
[   ]hub-bash-completion-2.14.2-r24.apk2024-06-11 09:14 4.3K
[   ]hub-2.14.2-r24.apk2024-06-11 09:14 2.5M
[   ]hilbish-doc-2.2.3-r2.apk2024-06-11 09:14 26K
[   ]hilbish-2.2.3-r2.apk2024-06-11 09:14 3.1M
[   ]helmfile-zsh-completion-0.162.0-r4.apk2024-06-11 09:14 3.8K
[   ]helmfile-fish-completion-0.162.0-r4.apk2024-06-11 09:14 4.1K
[   ]helmfile-doc-0.162.0-r4.apk2024-06-11 09:14 2.0K
[   ]helmfile-bash-completion-0.162.0-r4.apk2024-06-11 09:14 4.9K
[   ]helmfile-0.162.0-r4.apk2024-06-11 09:14 30M
[   ]helm-ls-doc-0.0.12-r3.apk2024-06-11 09:14 2.0K
[   ]helm-ls-0.0.12-r3.apk2024-06-11 09:14 10M
[   ]gx-go-doc-1.9.0-r26.apk2024-06-11 09:14 2.0K
[   ]gx-go-1.9.0-r26.apk2024-06-11 09:14 4.4M
[   ]gx-doc-0.14.3-r24.apk2024-06-11 09:14 2.0K
[   ]gx-0.14.3-r24.apk2024-06-11 09:14 4.2M
[   ]grpcurl-1.9.1-r2.apk2024-06-11 09:14 7.5M
[   ]grpcui-1.4.1-r2.apk2024-06-11 09:14 8.7M
[   ]gron-0.7.1-r18.apk2024-06-11 09:14 2.2M
[   ]gotestsum-1.12.0-r1.apk2024-06-11 09:14 2.2M
[   ]gosu-1.17-r4.apk2024-06-11 09:14 1.0M
[   ]gortr-openrc-0.14.8-r7.apk2024-06-11 09:14 1.7K
[   ]gortr-0.14.8-r7.apk2024-06-11 09:14 9.0M
[   ]goreman-0.3.15-r7.apk2024-06-11 09:14 2.0M
[   ]gomp-1.0.0-r6.apk2024-06-11 09:14 3.1M
[   ]gobuster-3.6.0-r6.apk2024-06-11 09:14 3.1M
[   ]go-swag-1.8.12-r6.apk2024-06-11 09:13 4.1M
[   ]go-passbolt-cli-0.3.1-r2.apk2024-06-11 09:13 6.2M
[   ]go-mtpfs-1.0.0-r21.apk2024-06-11 09:13 1.1M
[   ]go-jsonnet-0.20.0-r8.apk2024-06-11 09:13 5.6M
[   ]glow-zsh-completion-1.5.1-r8.apk2024-06-11 09:13 3.7K
[   ]glow-fish-completion-1.5.1-r8.apk2024-06-11 09:13 3.6K
[   ]glow-bash-completion-1.5.1-r8.apk2024-06-11 09:13 4.7K
[   ]glow-1.5.1-r8.apk2024-06-11 09:13 6.1M
[   ]git-bug-zsh-completion-0.8.0-r13.apk2024-06-11 09:13 3.7K
[   ]git-bug-fish-completion-0.8.0-r13.apk2024-06-11 09:13 3.7K
[   ]git-bug-doc-0.8.0-r13.apk2024-06-11 09:13 17K
[   ]git-bug-bash-completion-0.8.0-r13.apk2024-06-11 09:13 4.9K
[   ]git-bug-0.8.0-r13.apk2024-06-11 09:13 8.5M
[   ]ghq-zsh-completion-1.6.1-r2.apk2024-06-11 09:13 2.2K
[   ]ghq-fish-completion-1.6.1-r2.apk2024-06-11 09:13 2.2K
[   ]ghq-doc-1.6.1-r2.apk2024-06-11 09:13 5.2K
[   ]ghq-bash-completion-1.6.1-r2.apk2024-06-11 09:13 1.5K
[   ]ghq-1.6.1-r2.apk2024-06-11 09:13 3.3M
[   ]geodns-openrc-3.3.0-r7.apk2024-06-11 09:13 1.5K
[   ]geodns-logs-3.3.0-r7.apk2024-06-11 09:13 3.9M
[   ]geodns-3.3.0-r7.apk2024-06-11 09:13 4.2M
[   ]gb-0.4.4-r25.apk2024-06-11 09:13 6.1M
[   ]flux-zsh-completion-2.2.3-r4.apk2024-06-11 09:13 3.8K
[   ]flux-fish-completion-2.2.3-r4.apk2024-06-11 09:13 4.0K
[   ]flux-bash-completion-2.2.3-r4.apk2024-06-11 09:13 13K
[   ]flux-2.2.3-r4.apk2024-06-11 09:13 25M
[   ]fathom-1.3.1-r7.apk2024-06-11 09:13 4.3M
[   ]exercism-zsh-completion-3.2.0-r6.apk2024-06-11 09:13 1.9K
[   ]exercism-fish-completion-3.2.0-r6.apk2024-06-11 09:13 2.1K
[   ]exercism-bash-completion-3.2.0-r6.apk2024-06-11 09:13 1.7K
[   ]exercism-3.2.0-r6.apk2024-06-11 09:13 3.6M
[   ]etcd-openrc-3.5.14-r0.apk2024-06-11 09:13 1.9K
[   ]etcd-doc-3.5.14-r0.apk2024-06-11 09:13 5.3K
[   ]etcd-ctl-3.5.14-r0.apk2024-06-11 09:13 5.9M
[   ]etcd-3.5.14-r0.apk2024-06-11 09:13 7.7M
[   ]ergo-ldap-doc-0.0.1-r11.apk2024-06-11 09:13 2.0K
[   ]ergo-ldap-0.0.1-r11.apk2024-06-11 09:13 1.8M
[   ]envconsul-0.13.2-r7.apk2024-06-11 09:13 4.3M
[   ]duf-0.8.1-r20.apk2024-06-11 09:13 965K
[   ]dstask-zsh-completion-0.26-r8.apk2024-06-11 09:13 1.4K
[   ]dstask-import-0.26-r8.apk2024-06-11 09:13 3.0M
[   ]dstask-bash-completion-0.26-r8.apk2024-06-11 09:13 1.8K
[   ]dstask-0.26-r8.apk2024-06-11 09:13 1.2M
[   ]dsnet-doc-0.7.3-r4.apk2024-06-11 09:13 9.0K
[   ]dsnet-0.7.3-r4.apk2024-06-11 09:13 3.4M
[   ]drone-cli-1.8.0-r4.apk2024-06-11 09:13 5.3M
[   ]draw-0.1.1-r7.apk2024-06-11 09:13 899K
[   ]dockerize-0.7.0-r7.apk2024-06-11 09:13 2.8M
[   ]docker-volume-local-persist-openrc-1.3.0-r27.apk2024-06-11 09:13 1.5K
[   ]docker-volume-local-persist-1.3.0-r27.apk2024-06-11 09:13 2.1M
[   ]docker-auth-openrc-1.11.0-r9.apk2024-06-11 09:13 1.8K
[   ]docker-auth-1.11.0-r9.apk2024-06-11 09:13 8.4M
[   ]dive-0.11.0-r7.apk2024-06-11 09:13 3.7M
[   ]distrobuilder-lxd-2.1-r18.apk2024-06-11 09:13 1.2K
[   ]distrobuilder-lxc-2.1-r18.apk2024-06-11 09:13 1.2K
[   ]distrobuilder-doc-2.1-r18.apk2024-06-11 09:13 15K
[   ]distrobuilder-2.1-r18.apk2024-06-11 09:13 5.4M
[   ]dissent-0.0.24-r1.apk2024-06-11 09:13 14M
[   ]desync-0.9.5-r7.apk2024-06-11 09:13 6.9M
[   ]crowdsec-splunk-plugin-1.6.2-r2.apk2024-06-11 09:13 4.2M
[   ]crowdsec-slack-plugin-1.6.2-r2.apk2024-06-11 09:13 4.3M
[   ]crowdsec-openrc-1.6.2-r2.apk2024-06-11 09:13 1.5K
[   ]crowdsec-http-plugin-1.6.2-r2.apk2024-06-11 09:13 4.2M
[   ]crowdsec-email-plugin-1.6.2-r2.apk2024-06-11 09:13 4.1M
[   ]crowdsec-1.6.2-r2.apk2024-06-11 09:13 35M
[   ]cri-o-zsh-completion-1.29.1-r4.apk2024-06-11 09:13 2.6K
[   ]cri-o-openrc-1.29.1-r4.apk2024-06-11 09:13 1.7K
[   ]cri-o-fish-completion-1.29.1-r4.apk2024-06-11 09:13 7.9K
[   ]cri-o-doc-1.29.1-r4.apk2024-06-11 09:13 21K
[   ]cri-o-bash-completion-1.29.1-r4.apk2024-06-11 09:13 2.4K
[   ]cri-o-1.29.1-r4.apk2024-06-11 09:13 14M
[   ]consul-replicate-0.4.0-r25.apk2024-06-11 09:13 2.5M
[   ]conntracct-openrc-0.2.7-r25.apk2024-06-11 09:13 1.7K
[   ]conntracct-0.2.7-r25.apk2024-06-11 09:13 4.4M
[   ]comics-downloader-gui-0.33.8-r4.apk2024-06-11 09:13 5.1M
[   ]comics-downloader-0.33.8-r4.apk2024-06-11 09:13 3.4M
[   ]cloudfoundry-cli-8.7.9-r3.apk2024-06-11 09:13 8.2M
[   ]cliphist-fzf-0.5.0-r4.apk2024-06-11 09:13 1.5K
[   ]cliphist-0.5.0-r4.apk2024-06-11 09:13 823K
[   ]cilium-cli-zsh-completion-0.16.6-r2.apk2024-06-11 09:13 3.8K
[   ]cilium-cli-fish-completion-0.16.6-r2.apk2024-06-11 09:13 4.1K
[   ]cilium-cli-bash-completion-0.16.6-r2.apk2024-06-11 09:13 4.8K
[   ]cilium-cli-0.16.6-r2.apk2024-06-11 09:13 50M
[   ]cfssl-1.6.4-r8.apk2024-06-11 09:13 29M
[   ]certstrap-1.3.0-r17.apk2024-06-11 09:13 2.0M
[   ]certigo-1.16.0-r17.apk2024-06-11 09:13 3.2M
[   ]carapace-1.0.3-r1.apk2024-06-11 09:12 9.8M
[   ]butane-0.19.0-r5.apk2024-06-11 09:12 2.5M
[   ]buf-zsh-completion-1.31.0-r2.apk2024-06-11 09:12 3.8K
[   ]buf-protoc-plugins-1.31.0-r2.apk2024-06-11 09:12 8.5M
[   ]buf-fish-completion-1.31.0-r2.apk2024-06-11 09:12 4.0K
[   ]buf-bash-completion-1.31.0-r2.apk2024-06-11 09:12 7.6K
[   ]buf-1.31.0-r2.apk2024-06-11 09:12 9.7M
[   ]btcd-0.24.0-r2.apk2024-06-11 09:12 14M
[   ]bootloose-0.7.1-r5.apk2024-06-11 09:12 2.0M
[   ]betula-openrc-1.1.0-r4.apk2024-06-11 09:12 1.6K
[   ]betula-1.1.0-r4.apk2024-06-11 09:12 3.4M
[   ]bettercap-2.32.0-r22.apk2024-06-11 09:12 9.1M
[   ]avahi2dns-openrc-0.0.1_git20240102-r1.apk2024-06-11 09:12 1.5K
[   ]avahi2dns-0.0.1_git20240102-r1.apk2024-06-11 09:12 2.0M
[   ]autorestic-1.8.2-r3.apk2024-06-11 09:12 3.2M
[   ]atomicparsley-20240608-r0.apk2024-06-11 09:12 109K
[   ]atlas-zsh-completion-0.22.0-r2.apk2024-06-11 09:12 3.8K
[   ]atlas-fish-completion-0.22.0-r2.apk2024-06-11 09:12 4.0K
[   ]atlas-bash-completion-0.22.0-r2.apk2024-06-11 09:12 4.8K
[   ]atlas-0.22.0-r2.apk2024-06-11 09:12 12M
[   ]aports-glmr-0.2-r22.apk2024-06-11 09:12 2.2M
[   ]apollo-openrc-0.2.1-r4.apk2024-06-11 09:12 1.5K
[   ]apollo-doc-0.2.1-r4.apk2024-06-11 09:12 18K
[   ]apollo-0.2.1-r4.apk2024-06-11 09:12 3.5M
[   ]antibody-6.1.1-r22.apk2024-06-11 09:12 1.6M
[   ]alps-openrc-0_git20230807-r5.apk2024-06-11 09:12 1.8K
[   ]alps-0_git20230807-r5.apk2024-06-11 09:12 5.0M
[   ]alpine-lift-0.2.0-r17.apk2024-06-11 09:12 3.2M
[   ]acmetool-doc-0.2.2-r7.apk2024-06-11 09:12 47K
[   ]acmetool-0.2.2-r7.apk2024-06-11 09:12 3.9M
[   ]straw-viewer-doc-0.1.3-r3.apk2024-06-10 09:18 36K
[   ]straw-viewer-0.1.3-r3.apk2024-06-10 09:18 232K
[   ]spvm-thread-doc-0.001-r1.apk2024-06-10 09:18 5.6K
[   ]spvm-thread-0.001-r1.apk2024-06-10 09:18 9.9K
[   ]spvm-mime-base64-doc-1.001003-r1.apk2024-06-10 09:18 5.2K
[   ]spvm-mime-base64-1.001003-r1.apk2024-06-10 09:18 18K
[   ]spvm-math-doc-1.001-r1.apk2024-06-10 09:18 5.9K
[   ]spvm-math-1.001-r1.apk2024-06-10 09:18 24K
[   ]spvm-errno-doc-0.092-r1.apk2024-06-10 09:18 5.7K
[   ]spvm-errno-0.092-r1.apk2024-06-10 09:18 18K
[   ]silc-client-doc-1.1.11-r17.apk2024-06-10 09:18 79K
[   ]silc-client-1.1.11-r17.apk2024-06-10 09:18 918K
[   ]sgt-puzzles-0_git20230310-r2.apk2024-06-10 09:18 2.8M
[   ]pspp-doc-1.4.1-r4.apk2024-06-10 09:18 422K
[   ]pspp-dbg-1.4.1-r4.apk2024-06-10 09:18 3.6M
[   ]pspp-1.4.1-r4.apk2024-06-10 09:18 8.0M
[   ]pptpclient-doc-1.10.0-r5.apk2024-06-10 09:18 6.9K
[   ]pptpclient-1.10.0-r5.apk2024-06-10 09:18 33K
[   ]perl-xml-bare-doc-0.53-r13.apk2024-06-10 09:18 11K
[   ]perl-xml-bare-0.53-r13.apk2024-06-10 09:18 29K
[   ]perl-time-timegm-doc-0.01-r9.apk2024-06-10 09:18 3.5K
[   ]perl-time-timegm-0.01-r9.apk2024-06-10 09:18 6.7K
[   ]perl-term-size-doc-0.211-r4.apk2024-06-10 09:18 3.5K
[   ]perl-term-size-0.211-r4.apk2024-06-10 09:18 5.8K
[   ]perl-sys-virt-doc-10.2.0-r1.apk2024-06-10 09:18 99K
[   ]perl-sys-virt-10.2.0-r1.apk2024-06-10 09:18 202K
[   ]perl-syntax-keyword-match-doc-0.14-r1.apk2024-06-10 09:18 7.5K
[   ]perl-syntax-keyword-match-0.14-r1.apk2024-06-10 09:18 14K
[   ]perl-string-crc32-doc-2.100-r4.apk2024-06-10 09:18 3.1K
[   ]perl-string-crc32-2.100-r4.apk2024-06-10 09:18 7.2K
[   ]perl-string-compare-constanttime-doc-0.321-r6.apk2024-06-10 09:18 5.0K
[   ]perl-string-compare-constanttime-0.321-r6.apk2024-06-10 09:18 7.5K
[   ]perl-snmp-doc-5.0404-r13.apk2024-06-10 09:18 14K
[   ]perl-snmp-5.0404-r13.apk2024-06-10 09:18 75K
[   ]perl-sentinel-doc-0.07-r1.apk2024-06-10 09:18 3.9K
[   ]perl-sentinel-0.07-r1.apk2024-06-10 09:18 8.3K
[   ]perl-scalar-readonly-doc-0.03-r1.apk2024-06-10 09:18 3.1K
[   ]perl-scalar-readonly-0.03-r1.apk2024-06-10 09:18 5.4K
[   ]perl-ref-util-xs-doc-0.117-r8.apk2024-06-10 09:18 3.1K
[   ]perl-ref-util-xs-0.117-r8.apk2024-06-10 09:18 11K
[   ]perl-promise-xs-doc-0.20-r1.apk2024-06-10 09:18 8.4K
[   ]perl-promise-xs-0.20-r1.apk2024-06-10 09:18 26K
[   ]perl-ppi-xs-doc-0.910-r1.apk2024-06-10 09:18 3.1K
[   ]perl-ppi-xs-0.910-r1.apk2024-06-10 09:18 5.9K
[   ]perl-perlio-locale-doc-0.10-r12.apk2024-06-10 09:18 2.8K
[   ]perl-perlio-locale-0.10-r12.apk2024-06-10 09:18 4.6K
[   ]perl-pango-doc-1.227-r11.apk2024-06-10 09:18 81K
[   ]perl-pango-1.227-r11.apk2024-06-10 09:18 82K
[   ]perl-net-pcap-doc-0.21-r1.apk2024-06-10 09:18 15K
[   ]perl-net-pcap-0.21-r1.apk2024-06-10 09:18 40K
[   ]perl-net-patricia-doc-1.22-r12.apk2024-06-10 09:18 5.8K
[   ]perl-net-patricia-1.22-r12.apk2024-06-10 09:18 22K
[   ]perl-net-libresolv-doc-0.03-r1.apk2024-06-10 09:18 3.8K
[   ]perl-net-libresolv-0.03-r1.apk2024-06-10 09:18 7.5K
[   ]perl-net-idn-encode-doc-2.500-r1.apk2024-06-10 09:18 21K
[   ]perl-net-idn-encode-2.500-r1.apk2024-06-10 09:18 84K
[   ]perl-net-curl-doc-0.56-r1.apk2024-06-10 09:18 39K
[   ]perl-net-curl-0.56-r1.apk2024-06-10 09:18 64K
[   ]perl-net-async-redis-xs-doc-1.001-r1.apk2024-06-10 09:18 5.1K
[   ]perl-net-async-redis-xs-1.001-r1.apk2024-06-10 09:18 9.8K
[   ]perl-musicbrainz-discid-doc-0.06-r1.apk2024-06-10 09:18 4.0K
[   ]perl-musicbrainz-discid-0.06-r1.apk2024-06-10 09:18 9.2K
[   ]perl-math-random-isaac-xs-doc-1.004-r8.apk2024-06-10 09:18 3.5K
[   ]perl-math-random-isaac-xs-1.004-r8.apk2024-06-10 09:18 8.2K
[   ]perl-math-libm-doc-1.00-r14.apk2024-06-10 09:18 2.9K
[   ]perl-math-libm-1.00-r14.apk2024-06-10 09:18 11K
[   ]perl-math-int64-doc-0.57-r1.apk2024-06-10 09:18 10K
[   ]perl-math-int64-0.57-r1.apk2024-06-10 09:18 29K
[   ]perl-list-binarysearch-xs-doc-0.09-r1.apk2024-06-10 09:18 7.8K
[   ]perl-list-binarysearch-xs-0.09-r1.apk2024-06-10 09:18 12K
[   ]perl-linux-pid-doc-0.04-r13.apk2024-06-10 09:18 2.7K
[   ]perl-linux-pid-0.04-r13.apk2024-06-10 09:18 4.8K
[   ]perl-libapreq2-doc-2.17-r2.apk2024-06-10 09:18 37K
[   ]perl-libapreq2-dev-2.17-r2.apk2024-06-10 09:18 59K
[   ]perl-libapreq2-2.17-r2.apk2024-06-10 09:18 114K
[   ]perl-indirect-doc-0.39-r1.apk2024-06-10 09:18 6.1K
[   ]perl-indirect-0.39-r1.apk2024-06-10 09:18 17K
[   ]perl-http-xsheaders-doc-0.400005-r1.apk2024-06-10 09:18 6.1K
[   ]perl-http-xsheaders-0.400005-r1.apk2024-06-10 09:18 22K
[   ]perl-guard-doc-1.023-r9.apk2024-06-10 09:18 5.0K
[   ]perl-guard-1.023-r9.apk2024-06-10 09:18 8.7K
[   ]perl-gtk2-doc-1.24993-r6.apk2024-06-10 09:18 670K
[   ]perl-gtk2-1.24993-r6.apk2024-06-10 09:18 895K
[   ]perl-glib-object-introspection-doc-0.051-r1.apk2024-06-10 09:18 11K
[   ]perl-glib-object-introspection-0.051-r1.apk2024-06-10 09:18 63K
[   ]perl-git-raw-doc-0.90-r1.apk2024-06-10 09:18 118K
[   ]perl-git-raw-0.90-r1.apk2024-06-10 09:18 178K
[   ]perl-flowd-doc-0.9.1-r10.apk2024-06-10 09:18 3.0K
[   ]perl-flowd-0.9.1-r10.apk2024-06-10 09:18 21K
[   ]perl-file-mmagic-xs-doc-0.09008-r4.apk2024-06-10 09:18 3.9K
[   ]perl-file-mmagic-xs-0.09008-r4.apk2024-06-10 09:18 32K
[   ]perl-ffi-platypus-doc-2.08-r1.apk2024-06-10 09:18 146K
[   ]perl-ffi-platypus-2.08-r1.apk2024-06-10 09:18 181K
[   ]perl-ev-hiredis-doc-0.07-r1.apk2024-06-10 09:18 3.9K
[   ]perl-ev-hiredis-0.07-r1.apk2024-06-10 09:18 13K
[   ]perl-dns-unbound-mojo-0.29-r1.apk2024-06-10 09:18 2.4K
[   ]perl-dns-unbound-ioasync-0.29-r1.apk2024-06-10 09:18 2.2K
[   ]perl-dns-unbound-doc-0.29-r1.apk2024-06-10 09:18 15K
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk2024-06-10 09:18 1.7K
[   ]perl-dns-unbound-anyevent-0.29-r1.apk2024-06-10 09:18 2.1K
[   ]perl-dns-unbound-0.29-r1.apk2024-06-10 09:18 24K
[   ]perl-digest-crc-doc-0.24-r1.apk2024-06-10 09:18 2.9K
[   ]perl-digest-crc-0.24-r1.apk2024-06-10 09:18 9.9K
[   ]perl-devel-refcount-doc-0.10-r1.apk2024-06-10 09:18 4.0K
[   ]perl-devel-refcount-0.10-r1.apk2024-06-10 09:18 6.2K
[   ]perl-devel-leak-doc-0.03-r13.apk2024-06-10 09:18 3.0K
[   ]perl-devel-leak-0.03-r13.apk2024-06-10 09:18 7.1K
[   ]perl-check-unitcheck-doc-0.13-r1.apk2024-06-10 09:18 3.3K
[   ]perl-check-unitcheck-0.13-r1.apk2024-06-10 09:18 6.2K
[   ]perl-cairo-gobject-doc-1.005-r4.apk2024-06-10 09:18 2.7K
[   ]perl-cairo-gobject-1.005-r4.apk2024-06-10 09:18 6.7K
[   ]perl-cairo-doc-1.109-r4.apk2024-06-10 09:18 14K
[   ]perl-cairo-1.109-r4.apk2024-06-10 09:18 82K
[   ]perl-bsd-resource-doc-1.2911-r10.apk2024-06-10 09:18 7.6K
[   ]perl-bsd-resource-1.2911-r10.apk2024-06-10 09:18 21K
[   ]perl-barcode-zbar-doc-0.10-r3.apk2024-06-10 09:18 12K
[   ]perl-barcode-zbar-0.10-r3.apk2024-06-10 09:18 29K
[   ]perl-autobox-doc-3.0.1-r9.apk2024-06-10 09:18 8.6K
[   ]perl-autobox-3.0.1-r9.apk2024-06-10 09:18 20K
[   ]pcsc-perl-doc-1.4.16-r1.apk2024-06-10 09:18 9.7K
[   ]pcsc-perl-1.4.16-r1.apk2024-06-10 09:18 26K
[   ]openwsman-libs-2.7.2-r5.apk2024-06-10 09:18 333K
[   ]openwsman-doc-2.7.2-r5.apk2024-06-10 09:18 2.1K
[   ]openwsman-dev-2.7.2-r5.apk2024-06-10 09:18 57K
[   ]openwsman-2.7.2-r5.apk2024-06-10 09:18 52K
[   ]jellyfin-ffmpeg-6.0.1_p7-r1.apk2024-06-10 09:18 27M
[   ]hw-probe-1.6.5-r2.apk2024-06-10 09:18 117K
[   ]flowd-openrc-0.9.1-r10.apk2024-06-10 09:18 1.6K
[   ]flowd-doc-0.9.1-r10.apk2024-06-10 09:18 9.8K
[   ]flowd-dev-0.9.1-r10.apk2024-06-10 09:18 8.0K
[   ]flowd-0.9.1-r10.apk2024-06-10 09:18 75K
[   ]dnssec-tools-doc-2.2.3-r11.apk2024-06-10 09:18 316K
[   ]dnssec-tools-dev-2.2.3-r11.apk2024-06-10 09:18 193K
[   ]dnssec-tools-2.2.3-r11.apk2024-06-10 09:18 778K
[   ]apache2-mod-perl-doc-2.0.13-r1.apk2024-06-10 09:18 302K
[   ]apache2-mod-perl-dev-2.0.13-r1.apk2024-06-10 09:18 40K
[   ]apache2-mod-perl-dbg-2.0.13-r1.apk2024-06-10 09:18 82K
[   ]apache2-mod-perl-2.0.13-r1.apk2024-06-10 09:18 795K
[   ]tuxedo-drivers-src-4.5.1-r0.apk2024-06-09 23:26 68K
[   ]rdrview-doc-0.1.2-r0.apk2024-06-09 16:30 3.5K
[   ]rdrview-0.1.2-r0.apk2024-06-09 16:30 32K
[   ]py3-gnucash-5.6-r0.apk2024-06-09 13:15 314K
[   ]gnucash-lang-5.6-r0.apk2024-06-09 13:15 8.0M
[   ]gnucash-doc-5.6-r0.apk2024-06-09 13:15 1.4M
[   ]gnucash-dev-5.6-r0.apk2024-06-09 13:15 306K
[   ]gnucash-5.6-r0.apk2024-06-09 13:15 8.5M
[   ]py3-pytest-postgresql-pyc-6.0.0-r0.apk2024-06-09 12:34 23K
[   ]py3-pytest-postgresql-6.0.0-r0.apk2024-06-09 12:34 34K
[   ]py3-mirakuru-pyc-2.5.2-r0.apk2024-06-09 12:34 22K
[   ]py3-mirakuru-2.5.2-r0.apk2024-06-09 12:34 21K
[   ]py3-pytube-pyc-15.0.0-r2.apk2024-06-09 10:36 90K
[   ]py3-pytube-15.0.0-r2.apk2024-06-09 10:36 53K
[   ]py3-irc-pyc-20.4.1-r0.apk2024-06-09 10:04 71K
[   ]py3-irc-20.4.1-r0.apk2024-06-09 10:04 41K
[   ]mdbook-mermaid-0.13.0-r0.apk2024-06-09 00:36 1.8M
[   ]py3-dbus-fast-pyc-2.21.3-r0.apk2024-06-09 00:29 126K
[   ]py3-dbus-fast-doc-2.21.3-r0.apk2024-06-09 00:29 5.2K
[   ]py3-dbus-fast-2.21.3-r0.apk2024-06-09 00:29 574K
[   ]antimicrox-doc-3.4.0-r0.apk2024-06-08 23:26 23K
[   ]antimicrox-3.4.0-r0.apk2024-06-08 23:26 1.6M
[   ]meep-dev-1.29.0-r0.apk2024-06-08 22:07 563K
[   ]meep-1.29.0-r0.apk2024-06-08 22:07 657K
[   ]usbguard-notifier-doc-0.1.1-r0.apk2024-06-08 17:57 4.7K
[   ]usbguard-notifier-0.1.1-r0.apk2024-06-08 17:57 34K
[   ]py3-piper-tts-2023.11.14.2-r4.apk2024-06-08 13:15 41K
[   ]py3-piper-phonemize-2023.11.14.4-r2.apk2024-06-08 13:15 137K
[   ]py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk2024-06-08 13:15 11K
[   ]py3-ovos-tts-plugin-piper-0.0.1-r0.apk2024-06-08 13:15 12K
[   ]piper-tts-dev-2023.11.14.2-r4.apk2024-06-08 13:15 141K
[   ]piper-tts-2023.11.14.2-r4.apk2024-06-08 13:15 134K
[   ]piper-phonemize-libs-2023.11.14.4-r2.apk2024-06-08 13:15 71K
[   ]piper-phonemize-dev-2023.11.14.4-r2.apk2024-06-08 13:15 394K
[   ]piper-phonemize-2023.11.14.4-r2.apk2024-06-08 13:15 9.0M
[   ]getmail6-pyc-6.19.01-r0.apk2024-06-08 12:29 102K
[   ]getmail6-doc-6.19.01-r0.apk2024-06-08 12:29 137K
[   ]getmail6-6.19.01-r0.apk2024-06-08 12:29 71K
[   ]usbguard-zsh-completion-1.1.3-r0.apk2024-06-08 12:14 2.8K
[   ]usbguard-openrc-1.1.3-r0.apk2024-06-08 12:14 1.5K
[   ]usbguard-doc-1.1.3-r0.apk2024-06-08 12:14 16K
[   ]usbguard-dev-1.1.3-r0.apk2024-06-08 12:14 31K
[   ]usbguard-bash-completion-1.1.3-r0.apk2024-06-08 12:14 4.3K
[   ]usbguard-1.1.3-r0.apk2024-06-08 12:14 103K
[   ]libusbguard-1.1.3-r0.apk2024-06-08 12:14 295K
[   ]r2ghidra-5.9.2-r0.apk2024-06-08 11:00 15M
[   ]stgit-zsh-completion-2.4.7-r1.apk2024-06-08 00:50 23K
[   ]stgit-vim-2.4.7-r1.apk2024-06-08 00:50 3.2K
[   ]stgit-fish-completion-2.4.7-r1.apk2024-06-08 00:50 11K
[   ]stgit-emacs-2.4.7-r1.apk2024-06-08 00:50 27K
[   ]stgit-doc-2.4.7-r1.apk2024-06-08 00:50 126K
[   ]stgit-bash-completion-2.4.7-r1.apk2024-06-08 00:50 17K
[   ]stgit-2.4.7-r1.apk2024-06-08 00:50 2.0M
[   ]pfetch-rs-doc-2.9.2-r0.apk2024-06-07 11:48 2.0K
[   ]pfetch-rs-2.9.2-r0.apk2024-06-07 11:48 1.1M
[   ]sxcs-doc-1.1.0-r0.apk2024-06-06 22:54 2.4K
[   ]sxcs-1.1.0-r0.apk2024-06-06 22:54 9.2K
[   ]php81-zip-8.1.29-r0.apk2024-06-06 22:12 26K
[   ]php81-xsl-8.1.29-r0.apk2024-06-06 22:12 14K
[   ]php81-xmlwriter-8.1.29-r0.apk2024-06-06 22:12 11K
[   ]php81-xmlreader-8.1.29-r0.apk2024-06-06 22:12 14K
[   ]php81-xml-8.1.29-r0.apk2024-06-06 22:12 19K
[   ]php81-tokenizer-8.1.29-r0.apk2024-06-06 22:12 12K
[   ]php81-tidy-8.1.29-r0.apk2024-06-06 22:12 18K
[   ]php81-sysvshm-8.1.29-r0.apk2024-06-06 22:12 6.8K
[   ]php81-sysvsem-8.1.29-r0.apk2024-06-06 22:12 5.8K
[   ]php81-sysvmsg-8.1.29-r0.apk2024-06-06 22:12 7.6K
[   ]php81-sqlite3-8.1.29-r0.apk2024-06-06 22:12 21K
[   ]php81-sodium-8.1.29-r0.apk2024-06-06 22:12 25K
[   ]php81-sockets-8.1.29-r0.apk2024-06-06 22:12 36K
[   ]php81-soap-8.1.29-r0.apk2024-06-06 22:12 141K
[   ]php81-snmp-8.1.29-r0.apk2024-06-06 22:12 21K
[   ]php81-simplexml-8.1.29-r0.apk2024-06-06 22:12 23K
[   ]php81-shmop-8.1.29-r0.apk2024-06-06 22:12 6.2K
[   ]php81-session-8.1.29-r0.apk2024-06-06 22:12 37K
[   ]php81-pspell-8.1.29-r0.apk2024-06-06 22:12 8.0K
[   ]php81-posix-8.1.29-r0.apk2024-06-06 22:12 11K
[   ]php81-phpdbg-8.1.29-r0.apk2024-06-06 22:12 1.9M
[   ]php81-phar-8.1.29-r0.apk2024-06-06 22:12 122K
[   ]php81-pgsql-8.1.29-r0.apk2024-06-06 22:12 45K
[   ]php81-pear-8.1.29-r0.apk2024-06-06 22:12 343K
[   ]php81-pdo_sqlite-8.1.29-r0.apk2024-06-06 22:12 13K
[   ]php81-pdo_pgsql-8.1.29-r0.apk2024-06-06 22:12 19K
[   ]php81-pdo_odbc-8.1.29-r0.apk2024-06-06 22:12 13K
[   ]php81-pdo_mysql-8.1.29-r0.apk2024-06-06 22:12 14K
[   ]php81-pdo_dblib-8.1.29-r0.apk2024-06-06 22:12 13K
[   ]php81-pdo-8.1.29-r0.apk2024-06-06 22:12 41K
[   ]php81-pcntl-8.1.29-r0.apk2024-06-06 22:12 13K
[   ]php81-openssl-8.1.29-r0.apk2024-06-06 22:12 72K
[   ]php81-opcache-8.1.29-r0.apk2024-06-06 22:12 73K
[   ]php81-odbc-8.1.29-r0.apk2024-06-06 22:12 23K
[   ]php81-mysqlnd-8.1.29-r0.apk2024-06-06 22:12 83K
[   ]php81-mysqli-8.1.29-r0.apk2024-06-06 22:12 40K
[   ]php81-mbstring-8.1.29-r0.apk2024-06-06 22:12 572K
[   ]php81-litespeed-8.1.29-r0.apk2024-06-06 22:12 1.9M
[   ]php81-ldap-8.1.29-r0.apk2024-06-06 22:12 32K
[   ]php81-intl-8.1.29-r0.apk2024-06-06 22:12 142K
[   ]php81-imap-8.1.29-r0.apk2024-06-06 22:12 33K
[   ]php81-iconv-8.1.29-r0.apk2024-06-06 22:12 18K
[   ]php81-gmp-8.1.29-r0.apk2024-06-06 22:12 21K
[   ]php81-gettext-8.1.29-r0.apk2024-06-06 22:12 5.9K
[   ]php81-gd-8.1.29-r0.apk2024-06-06 22:12 151K
[   ]php81-ftp-8.1.29-r0.apk2024-06-06 22:12 23K
[   ]php81-fpm-8.1.29-r0.apk2024-06-06 22:12 1.9M
[   ]php81-fileinfo-8.1.29-r0.apk2024-06-06 22:12 384K
[   ]php81-ffi-8.1.29-r0.apk2024-06-06 22:12 65K
[   ]php81-exif-8.1.29-r0.apk2024-06-06 22:12 30K
[   ]php81-enchant-8.1.29-r0.apk2024-06-06 22:12 8.5K
[   ]php81-embed-8.1.29-r0.apk2024-06-06 22:12 1.8M
[   ]php81-dom-8.1.29-r0.apk2024-06-06 22:12 63K
[   ]php81-doc-8.1.29-r0.apk2024-06-06 22:12 67K
[   ]php81-dev-8.1.29-r0.apk2024-06-06 22:12 950K
[   ]php81-dba-8.1.29-r0.apk2024-06-06 22:12 24K
[   ]php81-curl-8.1.29-r0.apk2024-06-06 22:12 36K
[   ]php81-ctype-8.1.29-r0.apk2024-06-06 22:12 5.2K
[   ]php81-common-8.1.29-r0.apk2024-06-06 22:12 25K
[   ]php81-cgi-8.1.29-r0.apk2024-06-06 22:12 1.9M
[   ]php81-calendar-8.1.29-r0.apk2024-06-06 22:12 14K
[   ]php81-bz2-8.1.29-r0.apk2024-06-06 22:12 10K
[   ]php81-bcmath-8.1.29-r0.apk2024-06-06 22:12 20K
[   ]php81-apache2-8.1.29-r0.apk2024-06-06 22:12 1.9M
[   ]php81-8.1.29-r0.apk2024-06-06 22:12 1.9M
[   ]php81-pecl-swoole-dev-5.1.3-r0.apk2024-06-06 18:26 189K
[   ]php81-pecl-swoole-5.1.3-r0.apk2024-06-06 18:26 923K
[   ]py3-onnxruntime-pyc-1.18.0-r0.apk2024-06-06 17:38 1.2M
[   ]py3-onnxruntime-1.18.0-r0.apk2024-06-06 17:38 5.5M
[   ]onnxruntime-dev-1.18.0-r0.apk2024-06-06 17:38 87K
[   ]onnxruntime-1.18.0-r0.apk2024-06-06 17:38 9.3M
[   ]mixxx-doc-2.4.1-r0.apk2024-06-05 23:02 160K
[   ]mixxx-2.4.1-r0.apk2024-06-05 23:02 19M
[   ]libdjinterop-dev-0.20.2-r0.apk2024-06-05 23:02 37K
[   ]libdjinterop-0.20.2-r0.apk2024-06-05 23:02 392K
[   ]arcticons-icon-theme-light-9.6.5.0-r0.apk2024-06-05 19:59 423K
[   ]arcticons-icon-theme-dark-9.6.5.0-r0.apk2024-06-05 19:59 423K
[   ]arcticons-icon-theme-9.6.5.0-r0.apk2024-06-05 19:59 1.2K
[   ]listenbrainz-mpd-zsh-completion-2.3.7-r0.apk2024-06-05 19:58 1.8K
[   ]listenbrainz-mpd-fish-completion-2.3.7-r0.apk2024-06-05 19:58 1.6K
[   ]listenbrainz-mpd-doc-2.3.7-r0.apk2024-06-05 19:58 14K
[   ]listenbrainz-mpd-bash-completion-2.3.7-r0.apk2024-06-05 19:58 1.8K
[   ]listenbrainz-mpd-2.3.7-r0.apk2024-06-05 19:58 1.3M
[   ]perl-minion-doc-10.30-r0.apk2024-06-05 19:55 49K
[   ]perl-minion-backend-pg-10.30-r0.apk2024-06-05 19:55 9.3K
[   ]perl-minion-10.30-r0.apk2024-06-05 19:55 1.5M
[   ]gamemode-doc-0_git20240327-r0.apk2024-06-04 13:52 7.3K
[   ]gamemode-dev-0_git20240327-r0.apk2024-06-04 13:52 5.0K
[   ]gamemode-0_git20240327-r0.apk2024-06-04 13:52 78K
[   ]xemu-0.7.122-r0.apk2024-06-04 13:51 3.6M
[   ]java-asmtools-doc-8.0.09-r0.apk2024-06-04 09:44 6.6K
[   ]java-asmtools-8.0.09-r0.apk2024-06-04 09:44 574K
[   ]php81-pecl-xhprof-assets-2.3.9-r3.apk2024-06-04 02:39 800K
[   ]php81-pecl-xhprof-2.3.9-r3.apk2024-06-04 02:39 13K
[   ]php81-pecl-xdebug-3.3.2-r2.apk2024-06-04 02:39 146K
[   ]php81-pecl-redis-6.0.2-r2.apk2024-06-04 02:39 201K
[   ]php81-pecl-msgpack-2.2.0-r2.apk2024-06-04 02:39 25K
[   ]php81-pecl-brotli-0.15.0-r2.apk2024-06-04 02:39 11K
[   ]php81-pecl-apcu-5.1.23-r2.apk2024-06-04 02:39 56K
[   ]php82-pecl-zephir_parser-1.6.1-r0.apk2024-06-04 01:09 58K
[   ]php81-pecl-zephir_parser-1.6.1-r0.apk2024-06-04 01:09 58K
[   ]py3-hishel-pyc-0.0.27-r0.apk2024-06-03 22:50 68K
[   ]py3-hishel-0.0.27-r0.apk2024-06-03 22:50 31K
[   ]py3-truststore-pyc-0.9.1-r0.apk2024-06-03 22:42 24K
[   ]py3-truststore-0.9.1-r0.apk2024-06-03 22:42 17K
[   ]py3-itemloaders-pyc-1.3.1-r0.apk2024-06-03 22:41 16K
[   ]py3-itemloaders-1.3.1-r0.apk2024-06-03 22:41 12K
[   ]typescript-5.4.5-r0.apk2024-06-02 22:49 5.6M
[   ]ovos-audio-pyc-0.0.2_alpha42-r1.apk2024-06-02 22:27 32K
[   ]ovos-audio-0.0.2_alpha42-r1.apk2024-06-02 22:27 133K
[   ]py3-jaraco.stream-pyc-3.0.3-r0.apk2024-06-02 19:40 7.9K
[   ]py3-jaraco.stream-3.0.3-r0.apk2024-06-02 19:40 6.7K
[   ]py3-jaraco.logging-pyc-3.3.0-r0.apk2024-06-02 19:40 5.6K
[   ]py3-jaraco.logging-3.3.0-r0.apk2024-06-02 19:40 5.8K
[   ]heisenbridge-pyc-1.14.6-r0.apk2024-06-02 19:40 152K
[   ]heisenbridge-1.14.6-r0.apk2024-06-02 19:40 66K
[   ]dinit-shutdown-0.18.0-r2.apk2024-06-02 17:18 19K
[   ]dinit-doc-0.18.0-r2.apk2024-06-02 17:18 29K
[   ]dinit-0.18.0-r2.apk2024-06-02 17:18 170K
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-06-02 08:43 8.3K
[   ]s-dkim-sign-0.6.2-r0.apk2024-06-02 08:43 62K
[   ]poke-doc-4.1-r0.apk2024-06-02 07:36 200K
[   ]poke-4.1-r0.apk2024-06-02 07:36 1.2M
[   ]lutgen-zsh-completion-0.10.1-r0.apk2024-06-01 16:28 8.2K
[   ]lutgen-fish-completion-0.10.1-r0.apk2024-06-01 16:28 7.3K
[   ]lutgen-bash-completion-0.10.1-r0.apk2024-06-01 16:28 6.9K
[   ]lutgen-0.10.1-r0.apk2024-06-01 16:28 1.7M
[   ]dinit-alpine-0_git20240128-r1.apk2024-05-31 22:53 8.1K
[   ]swi-prolog-xpce-doc-9.2.5-r0.apk2024-05-31 15:32 1.0M
[   ]swi-prolog-xpce-9.2.5-r0.apk2024-05-31 15:32 942K
[   ]swi-prolog-pyc-9.2.5-r0.apk2024-05-31 15:32 22K
[   ]swi-prolog-doc-9.2.5-r0.apk2024-05-31 15:32 2.1M
[   ]swi-prolog-9.2.5-r0.apk2024-05-31 15:32 5.1M
[   ]tick-doc-1.2.0-r0.apk2024-05-31 15:24 5.3K
[   ]tick-1.2.0-r0.apk2024-05-31 15:24 10K
[   ]kakoune-lsp-17.0.1-r0.apk2024-05-31 13:00 2.3M
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-05-31 08:17 12K
[   ]py3-padacioso-0.2.1-r0.apk2024-05-31 08:17 11K
[   ]py3-ovos-workshop-pyc-0.0.15-r0.apk2024-05-31 08:17 145K
[   ]py3-ovos-workshop-0.0.15-r0.apk2024-05-31 08:17 81K
[   ]py3-ovos-utils-pyc-0.0.38-r0.apk2024-05-31 08:17 210K
[   ]py3-ovos-utils-0.0.38-r0.apk2024-05-31 08:17 114K
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-05-31 08:17 5.2K
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-05-31 08:17 9.3K
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-05-31 08:17 3.8K
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-05-31 08:17 8.1K
[   ]py3-ovos-plugin-manager-pyc-0.0.25-r0.apk2024-05-31 08:17 132K
[   ]py3-ovos-plugin-manager-0.0.25-r0.apk2024-05-31 08:17 71K
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2_alpha2-r0.apk2024-05-31 08:17 3.1K
[   ]py3-ovos-ocp-m3u-plugin-0.0.2_alpha2-r0.apk2024-05-31 08:17 7.8K
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-05-31 08:17 86K
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-05-31 08:17 550K
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-05-31 08:17 436K
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-05-31 08:17 358K
[   ]py3-ovos-config-pyc-0.0.12-r0.apk2024-05-31 08:17 33K
[   ]py3-ovos-config-0.0.12-r0.apk2024-05-31 08:17 30K
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-05-31 08:17 163K
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-05-31 08:17 103K
[   ]py3-ovos-bus-client-pyc-0.0.8-r0.apk2024-05-31 08:17 73K
[   ]py3-ovos-bus-client-0.0.8-r0.apk2024-05-31 08:17 42K
[   ]py3-ovos-backend-client-pyc-0.1.0-r0.apk2024-05-31 08:17 90K
[   ]py3-ovos-backend-client-0.1.0-r0.apk2024-05-31 08:17 40K
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-05-31 08:17 8.0K
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-05-31 08:17 9.7K
[   ]ovos-core-pyc-0.0.8_alpha105-r0.apk2024-05-31 08:17 254K
[   ]ovos-core-0.0.8_alpha105-r0.apk2024-05-31 08:17 17M
[   ]py3-pbs-installer-pyc-2024.4.24-r0.apk2024-05-30 23:44 48K
[   ]py3-pbs-installer-2024.4.24-r0.apk2024-05-30 23:44 42K
[   ]py3-openapi-core-pyc-0.19.1-r0.apk2024-05-30 23:44 154K
[   ]py3-openapi-core-0.19.1-r0.apk2024-05-30 23:44 72K
[   ]py3-fastapi-pyc-0.111.0-r0.apk2024-05-30 23:44 142K
[   ]py3-fastapi-0.111.0-r0.apk2024-05-30 23:44 83K
[   ]hy-pyc-0.29.0-r0.apk2024-05-30 23:44 168K
[   ]hy-0.29.0-r0.apk2024-05-30 23:44 80K
[   ]grommunio-common-openrc-1.0-r2.apk2024-05-30 23:44 1.5K
[   ]grommunio-common-1.0-r2.apk2024-05-30 23:44 6.0K
[   ]grommunio-admin-common-1.0_git20240313-r1.apk2024-05-30 23:44 8.9K
[   ]py3-pyglet-pyc-2.0.15-r0.apk2024-05-30 20:14 1.5M
[   ]py3-pyglet-2.0.15-r0.apk2024-05-30 20:14 812K
[   ]level-zero-dev-1.17.6-r0.apk2024-05-30 18:26 310K
[   ]level-zero-1.17.6-r0.apk2024-05-30 18:26 206K
[   ]py3-qbittorrent-api-pyc-2024.5.62-r0.apk2024-05-30 18:16 93K
[   ]py3-qbittorrent-api-doc-2024.5.62-r0.apk2024-05-30 18:16 28K
[   ]py3-qbittorrent-api-2024.5.62-r0.apk2024-05-30 18:16 56K
[   ]py3-yara-4.5.1-r0.apk2024-05-30 11:26 18K
[   ]bitritter-0.1.1-r0.apk2024-05-30 06:34 2.2M
[   ]f_scripts-f_youtube-0.6-r0.apk2024-05-29 18:24 2.5K
[   ]f_scripts-f_web-0.6-r0.apk2024-05-29 18:24 2.6K
[   ]f_scripts-f_timer-0.6-r0.apk2024-05-29 18:24 2.1K
[   ]f_scripts-f_theme-0.6-r0.apk2024-05-29 18:24 2.3K
[   ]f_scripts-f_rss-0.6-r0.apk2024-05-29 18:24 2.3K
[   ]f_scripts-f_phone-0.6-r0.apk2024-05-29 18:24 5.7K
[   ]f_scripts-f_networks-0.6-r0.apk2024-05-29 18:24 2.7K
[   ]f_scripts-f_maps-0.6-r0.apk2024-05-29 18:24 1.9K
[   ]f_scripts-f_game-0.6-r0.apk2024-05-29 18:24 1.6K
[   ]f_scripts-f_files-0.6-r0.apk2024-05-29 18:24 2.7K
[   ]f_scripts-f_audio-0.6-r0.apk2024-05-29 18:24 3.1K
[   ]f_scripts-0.6-r0.apk2024-05-29 18:24 1.2K
[   ]py3-daemon-pyc-3.0.1-r0.apk2024-05-29 03:30 19K
[   ]py3-daemon-3.0.1-r0.apk2024-05-29 03:30 30K
[   ]pipeline-lang-1.15.0-r0.apk2024-05-29 00:40 34K
[   ]pipeline-doc-1.15.0-r0.apk2024-05-29 00:40 13K
[   ]pipeline-1.15.0-r0.apk2024-05-29 00:40 3.0M
[   ]py3-nose-of-yeti-pyc-2.4.9-r0.apk2024-05-28 21:09 36K
[   ]py3-nose-of-yeti-2.4.9-r0.apk2024-05-28 21:09 25K
[   ]sqlmap-pyc-1.8.5-r0.apk2024-05-28 21:05 1.2M
[   ]sqlmap-1.8.5-r0.apk2024-05-28 21:05 6.8M
[   ]vectoroids-doc-1.1.0-r2.apk2024-05-28 13:28 2.0K
[   ]vectoroids-1.1.0-r2.apk2024-05-28 13:28 282K
[   ]py3-mujson-pyc-1.4-r0.apk2024-05-27 04:17 5.5K
[   ]py3-mujson-1.4-r0.apk2024-05-27 04:17 8.0K
[   ]py3-mattermostdriver-pyc-7.3.2-r0.apk2024-05-27 04:17 32K
[   ]py3-mattermostdriver-7.3.2-r0.apk2024-05-27 04:17 21K
[   ]py3-falcon-pyc-3.1.3-r0.apk2024-05-27 04:17 336K
[   ]py3-falcon-3.1.3-r0.apk2024-05-27 04:17 1.6M
[   ]py3-aioitertools-pyc-0.11.0-r0.apk2024-05-27 04:17 52K
[   ]py3-aioitertools-0.11.0-r0.apk2024-05-27 04:17 21K
[   ]py3-dunamai-pyc-1.21.1-r0.apk2024-05-26 17:00 42K
[   ]py3-dunamai-1.21.1-r0.apk2024-05-26 17:00 26K
[   ]sympow-doc-2.023.7-r0.apk2024-05-26 05:49 2.8K
[   ]sympow-2.023.7-r0.apk2024-05-26 05:49 122K
[   ]py3-pycaption-2.2.10-r0.apk2024-05-26 05:49 359K
[   ]fceux-doc-2.6.6-r2.apk2024-05-25 15:02 106K
[   ]fceux-2.6.6-r2.apk2024-05-25 15:02 2.9M
[   ]virtme-ng-bash-completion-1.25-r0.apk2024-05-25 13:31 2.5K
[   ]virtme-ng-1.25-r0.apk2024-05-25 13:31 166K
[   ]apulse-doc-0.1.13-r2.apk2024-05-25 12:05 2.5K
[   ]apulse-0.1.13-r2.apk2024-05-25 12:05 44K
[   ]opentelemetry-cpp-exporter-zipkin-1.11.0-r3.apk2024-05-25 07:22 52K
[   ]opentelemetry-cpp-exporter-otlp-http-1.11.0-r3.apk2024-05-25 07:22 74K
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r3.apk2024-05-25 07:22 44K
[   ]opentelemetry-cpp-exporter-otlp-common-1.11.0-r3.apk2024-05-25 07:22 36K
[   ]opentelemetry-cpp-dev-1.11.0-r3.apk2024-05-25 07:22 335K
[   ]opentelemetry-cpp-1.11.0-r3.apk2024-05-25 07:22 592K
[   ]ueberzug-pyc-18.2.3-r0.apk2024-05-24 19:43 64K
[   ]ueberzug-18.2.3-r0.apk2024-05-24 19:43 64K
[   ]cargo-deny-0.14.24-r0.apk2024-05-24 19:41 4.8M
[   ]sqawk-doc-0.24.0-r0.apk2024-05-24 18:27 113K
[   ]sqawk-0.24.0-r0.apk2024-05-24 18:27 14K
[   ]jitsi-videobridge-openrc-2.3.105-r0.apk2024-05-24 15:01 2.3K
[   ]jitsi-videobridge-2.3.105-r0.apk2024-05-24 15:01 42M
[   ]clustershell-pyc-1.9.2-r1.apk2024-05-23 22:40 378K
[   ]clustershell-doc-1.9.2-r1.apk2024-05-23 22:40 24K
[   ]clustershell-1.9.2-r1.apk2024-05-23 22:40 158K
[   ]racksdb-pyc-0.4.0-r0.apk2024-05-23 11:41 88K
[   ]racksdb-doc-0.4.0-r0.apk2024-05-23 11:41 17K
[   ]racksdb-0.4.0-r0.apk2024-05-23 11:41 59K
[   ]libdbusmenu-lxqt-doc-0.1.0-r0.apk2024-05-23 04:03 123K
[   ]libdbusmenu-lxqt-dev-0.1.0-r0.apk2024-05-23 04:03 8.0K
[   ]libdbusmenu-lxqt-0.1.0-r0.apk2024-05-23 04:03 83K
[   ]diskus-0.7.0-r2.apk2024-05-23 00:16 354K
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-05-22 22:04 4.6K
[   ]perl-xml-rpc-2.1-r0.apk2024-05-22 22:04 5.5K
[   ]py3-sphobjinv-pyc-2.3.1.1-r0.apk2024-05-22 16:09 52K
[   ]py3-sphobjinv-2.3.1.1-r0.apk2024-05-22 16:09 40K
[   ]convert2json-0.8.2-r1.apk2024-05-22 09:41 2.1M
[   ]php83-pecl-phalcon-5.7.0-r0.apk2024-05-21 19:02 1.8M
[   ]php82-pecl-phalcon-5.7.0-r0.apk2024-05-21 19:02 1.8M
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-05-21 18:14 3.3M
[   ]emulationstation-2.11.2-r1.apk2024-05-21 18:14 1.3M
[   ]zfs-src-2.2.4-r0.apk2024-05-20 13:00 33M
[   ]mdbook-katex-0.8.1-r0.apk2024-05-20 09:58 659K
[   ]py3-flask-limiter-pyc-3.7.0-r0.apk2024-05-20 09:38 47K
[   ]py3-flask-limiter-3.7.0-r0.apk2024-05-20 09:38 26K
[   ]compiz-utils-0.9.14.2-r5.apk2024-05-20 09:34 3.1K
[   ]compiz-pyc-0.9.14.2-r5.apk2024-05-20 09:34 112K
[   ]compiz-lang-0.9.14.2-r5.apk2024-05-20 09:34 1.2M
[   ]compiz-dev-0.9.14.2-r5.apk2024-05-20 09:34 121K
[   ]compiz-0.9.14.2-r5.apk2024-05-20 09:34 6.3M
[   ]py3-plexapi-pyc-4.15.13-r0.apk2024-05-20 09:29 303K
[   ]py3-plexapi-doc-4.15.13-r0.apk2024-05-20 09:29 82K
[   ]py3-plexapi-4.15.13-r0.apk2024-05-20 09:29 152K
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-05-20 09:18 22K
[   ]perl-glib-ex-objectbits-17-r0.apk2024-05-20 09:18 15K
[   ]openvpn3-dev-3.8.5-r0.apk2024-05-20 09:11 686K
[   ]openvpn3-3.8.5-r0.apk2024-05-20 09:11 404K
[   ]lua5.3-apk3-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 5.9K
[   ]apk-tools3-static-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 2.1M
[   ]apk-tools3-libs-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 126K
[   ]apk-tools3-doc-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 33K
[   ]apk-tools3-dev-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 642K
[   ]apk-tools3-dbg-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 531K
[   ]apk-tools3-3.0.0_pre2_git20240401-r1.apk2024-05-20 01:22 49K
[   ]git-quick-stats-doc-2.5.6-r0.apk2024-05-19 17:27 2.6K
[   ]git-quick-stats-2.5.6-r0.apk2024-05-19 17:27 11K
[   ]nfoview-doc-2.0.1-r0.apk2024-05-19 16:05 7.8K
[   ]nfoview-2.0.1-r0.apk2024-05-19 16:05 39K
[   ]notification-daemon-3.20.0-r0.apk2024-05-19 15:12 63K
[   ]seastar-testing-22.11.0_git20240315-r3.apk2024-05-19 12:04 174K
[   ]seastar-dev-22.11.0_git20240315-r3.apk2024-05-19 12:04 421K
[   ]seastar-22.11.0_git20240315-r3.apk2024-05-19 12:04 1.6M
[   ]mapserver-dev-8.0.1-r4.apk2024-05-19 02:23 539K
[   ]mapserver-8.0.1-r4.apk2024-05-19 02:23 1.4M
[   ]mapnik-doc-3.1.0-r26.apk2024-05-19 02:23 135K
[   ]mapnik-dev-3.1.0-r26.apk2024-05-19 02:23 470K
[   ]mapnik-3.1.0-r26.apk2024-05-19 02:23 11M
[   ]hexer-dev-1.4.0-r15.apk2024-05-19 02:23 7.6K
[   ]hexer-1.4.0-r15.apk2024-05-19 02:23 62K
[   ]jotdown-0.4.0-r0.apk2024-05-19 01:32 230K
[   ]ddcci-driver-linux-src-0.4.4-r3.apk2024-05-17 13:53 19K
[   ]py3-pyexmdb-1.11-r1.apk2024-05-17 00:11 120K
[   ]libexmdbpp-dev-1.11-r1.apk2024-05-17 00:11 24K
[   ]libexmdbpp-1.11-r1.apk2024-05-17 00:11 70K
[   ]octoprint-pyc-1.10.1-r0.apk2024-05-16 23:38 1.2M
[   ]octoprint-openrc-1.10.1-r0.apk2024-05-16 23:38 1.5K
[   ]octoprint-1.10.1-r0.apk2024-05-16 23:38 3.1M
[   ]aero2solver-openrc-1.1.0-r0.apk2024-05-16 15:54 1.8K
[   ]aero2solver-doc-1.1.0-r0.apk2024-05-16 15:54 2.0K
[   ]aero2solver-1.1.0-r0.apk2024-05-16 15:54 22M
[   ]py3-gdcm-3.0.24-r0.apk2024-05-15 00:39 680K
[   ]libgdcm-3.0.24-r0.apk2024-05-15 00:39 2.5M
[   ]java-gdcm-3.0.24-r0.apk2024-05-15 00:39 632K
[   ]gdcm-doc-3.0.24-r0.apk2024-05-15 00:39 55K
[   ]gdcm-dev-3.0.24-r0.apk2024-05-15 00:39 463K
[   ]gdcm-3.0.24-r0.apk2024-05-15 00:39 392K
[   ]snapper-zsh-completion-0.11.0-r0.apk2024-05-14 14:13 3.3K
[   ]snapper-lang-0.11.0-r0.apk2024-05-14 14:13 158K
[   ]snapper-doc-0.11.0-r0.apk2024-05-14 14:13 21K
[   ]snapper-dev-0.11.0-r0.apk2024-05-14 14:13 10K
[   ]snapper-bash-completion-0.11.0-r0.apk2024-05-14 14:13 2.8K
[   ]snapper-0.11.0-r0.apk2024-05-14 14:13 898K
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-05-13 19:30 502K
[   ]py3-pyinstaller-6.6.0-r0.apk2024-05-13 19:30 1.9M
[   ]knxd-dev-0.14.61-r0.apk2024-05-13 17:07 24K
[   ]knxd-0.14.61-r0.apk2024-05-13 17:07 427K
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-05-12 23:40 6.4K
[   ]py3-rst.linker-2.6.0-r0.apk2024-05-12 23:40 5.8K
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-05-12 23:40 5.8K
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-05-12 23:40 5.6K
[   ]py3-jaraco.vcs-pyc-2.2.0-r0.apk2024-05-12 23:40 13K
[   ]py3-jaraco.vcs-2.2.0-r0.apk2024-05-12 23:40 9.0K
[   ]py3-jaraco.path-pyc-3.7.0-r0.apk2024-05-12 23:40 9.2K
[   ]py3-jaraco.path-3.7.0-r0.apk2024-05-12 23:40 7.4K
[   ]git-extras-doc-7.2.0-r0.apk2024-05-12 15:33 64K
[   ]git-extras-bash-completion-7.2.0-r0.apk2024-05-12 15:33 2.6K
[   ]git-extras-7.2.0-r0.apk2024-05-12 15:33 56K
[   ]py3-winacl-pyc-0.1.9-r0.apk2024-05-12 11:07 132K
[   ]py3-winacl-0.1.9-r0.apk2024-05-12 11:07 83K
[   ]ddnrs-openrc-0.3.0-r0.apk2024-05-11 14:39 1.7K
[   ]ddnrs-0.3.0-r0.apk2024-05-11 14:39 1.0M
[   ]py3-aiowinreg-pyc-0.0.12-r0.apk2024-05-11 14:37 45K
[   ]py3-aiowinreg-0.0.12-r0.apk2024-05-11 14:37 23K
[   ]py3-igraph-pyc-0.11.5-r0.apk2024-05-11 14:29 373K
[   ]py3-igraph-dev-0.11.5-r0.apk2024-05-11 14:29 2.3K
[   ]py3-igraph-0.11.5-r0.apk2024-05-11 14:29 405K
[   ]libigraph-dev-0.10.12-r0.apk2024-05-11 14:29 92K
[   ]libigraph-0.10.12-r0.apk2024-05-11 14:29 1.4M
[   ]surf-doc-2.1-r3.apk2024-05-11 11:30 4.4K
[   ]surf-2.1-r3.apk2024-05-11 11:30 23K
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-05-11 04:33 1.6K
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-05-11 04:33 18K
[   ]aide-doc-0.18.8-r0.apk2024-05-10 17:21 14K
[   ]aide-0.18.8-r0.apk2024-05-10 17:21 79K
[   ]zsh-history-search-multi-word-doc-0_git20230705-r0.apk2024-05-10 15:37 18K
[   ]zsh-history-search-multi-word-0_git20230705-r0.apk2024-05-10 15:37 12K
[   ]tree-sitter-xml-doc-0.6.3-r0.apk2024-05-10 14:58 2.0K
[   ]tree-sitter-xml-0.6.3-r0.apk2024-05-10 14:58 34K
[   ]fcitx5-unikey-lang-5.1.4-r0.apk2024-05-10 05:39 14K
[   ]fcitx5-unikey-5.1.4-r0.apk2024-05-10 05:39 139K
[   ]fcitx5-qt-qt6-5.1.6-r0.apk2024-05-10 05:39 246K
[   ]fcitx5-qt-qt5-5.1.6-r0.apk2024-05-10 05:39 195K
[   ]fcitx5-qt-lang-5.1.6-r0.apk2024-05-10 05:39 13K
[   ]fcitx5-qt-dev-5.1.6-r0.apk2024-05-10 05:39 22K
[   ]fcitx5-qt-5.1.6-r0.apk2024-05-10 05:39 64K
[   ]fcitx5-m17n-lang-5.1.1-r0.apk2024-05-10 05:39 5.9K
[   ]fcitx5-m17n-5.1.1-r0.apk2024-05-10 05:39 65K
[   ]fcitx5-lua-lang-5.0.13-r0.apk2024-05-10 05:39 3.5K
[   ]fcitx5-lua-dev-5.0.13-r0.apk2024-05-10 05:39 2.9K
[   ]fcitx5-lua-5.0.13-r0.apk2024-05-10 05:39 39K
[   ]fcitx5-gtk4-5.1.3-r0.apk2024-05-10 05:39 36K
[   ]fcitx5-gtk3-5.1.3-r0.apk2024-05-10 05:39 37K
[   ]fcitx5-gtk-dev-5.1.3-r0.apk2024-05-10 05:39 9.9K
[   ]fcitx5-gtk-5.1.3-r0.apk2024-05-10 05:39 20K
[   ]fcitx5-anthy-lang-5.1.4-r0.apk2024-05-10 05:39 22K
[   ]fcitx5-anthy-5.1.4-r0.apk2024-05-10 05:39 143K
[   ]pxalarm-3.0.0-r0.apk2024-05-10 03:53 2.6K
[   ]featherpad-lang-1.5.1-r0.apk2024-05-10 02:44 462K
[   ]featherpad-1.5.1-r0.apk2024-05-10 02:44 746K
[   ]tree-sitter-c-sharp-0.21.2-r0.apk2024-05-10 02:36 339K
[   ]tree-sitter-kotlin-0.3.6-r0.apk2024-05-10 02:35 336K
[   ]waynergy-0.0.17-r0.apk2024-05-10 00:17 51K
[   ]bootterm-dbg-0.5-r0.apk2024-05-09 20:31 2.0K
[   ]bootterm-0.5-r0.apk2024-05-09 20:31 20K
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-05-09 05:06 5.7K
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-05-09 05:06 2.5K
[   ]py3-pytap2-2.3.0-r0.apk2024-05-09 05:06 6.7K
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-05-09 05:06 47K
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-05-09 05:06 4.0K
[   ]py3-pyqrcode-1.2.1-r0.apk2024-05-09 05:06 36K
[   ]py3-fastavro-pyc-1.9.4-r2.apk2024-05-09 05:06 82K
[   ]py3-fastavro-1.9.4-r2.apk2024-05-09 05:06 411K
[   ]projectm-sdl-3.1.12-r2.apk2024-05-09 05:06 334K
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-05-09 05:06 1.7K
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-05-09 05:06 421K
[   ]projectm-presets-3.1.12-r2.apk2024-05-09 05:06 4.4M
[   ]projectm-dev-3.1.12-r2.apk2024-05-09 05:06 652K
[   ]projectm-3.1.12-r2.apk2024-05-09 05:06 455K
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-05-09 05:06 12K
[   ]libmygpo-qt-1.1.0-r2.apk2024-05-09 05:06 90K
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-05-09 05:06 19K
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-05-09 05:06 169K
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-05-09 05:06 230K
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-05-09 05:06 6.0K
[   ]kgraphviewer-2.5.0-r0.apk2024-05-09 05:06 1.3M
[   ]clementine-1.4.0_git20220324-r12.apk2024-05-09 05:06 7.5M
[   ]sipgrep-2.2.0-r0.apk2024-05-08 09:14 27K
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-05-08 00:00 16K
[   ]zfsbootmenu-2.3.0-r1.apk2024-05-08 00:00 128K
[   ]ma1sd-openrc-2.5.0-r3.apk2024-05-07 22:17 1.7K
[   ]ma1sd-2.5.0-r3.apk2024-05-07 22:17 38M
[   ]hpnssh-doc-18.4.1-r0.apk2024-05-07 21:58 98K
[   ]hpnssh-18.4.1-r0.apk2024-05-07 21:58 2.2M
[   ]apache-mod-auth-openidc-static-2.4.15.7-r1.apk2024-05-07 05:39 271K
[   ]apache-mod-auth-openidc-doc-2.4.15.7-r1.apk2024-05-07 05:39 4.5K
[   ]apache-mod-auth-openidc-2.4.15.7-r1.apk2024-05-07 05:39 193K
[   ]xonsh-pyc-0.16.0-r0.apk2024-05-06 23:38 1.0M
[   ]xonsh-0.16.0-r0.apk2024-05-06 23:38 578K
[   ]azote-pyc-1.12.7-r0.apk2024-05-06 23:38 98K
[   ]azote-1.12.7-r0.apk2024-05-06 23:38 7.6M
[   ]ttfautohint-libs-1.8.4-r0.apk2024-05-06 12:51 103K
[   ]ttfautohint-gui-1.8.4-r0.apk2024-05-06 12:51 59K
[   ]ttfautohint-doc-1.8.4-r0.apk2024-05-06 12:51 7.8K
[   ]ttfautohint-dev-1.8.4-r0.apk2024-05-06 12:51 157K
[   ]ttfautohint-1.8.4-r0.apk2024-05-06 12:51 28K
[   ]py3-highctidh-pyc-1.0.2024050500-r0.apk2024-05-06 02:45 11K
[   ]py3-highctidh-1.0.2024050500-r0.apk2024-05-06 02:45 409K
[   ]highctidh-dev-1.0.2024050500-r0.apk2024-05-06 02:45 442K
[   ]highctidh-1.0.2024050500-r0.apk2024-05-06 02:45 408K
[   ]drogon-doc-1.9.4-r0.apk2024-05-05 23:25 2.0K
[   ]drogon-dev-1.9.4-r0.apk2024-05-05 23:25 124K
[   ]drogon-1.9.4-r0.apk2024-05-05 23:25 1.6M
[   ]sopwith-doc-2.5.0-r0.apk2024-05-05 22:23 15K
[   ]sopwith-2.5.0-r0.apk2024-05-05 22:23 51K
[   ]logwatch-doc-7.10-r1.apk2024-05-05 17:28 38K
[   ]logwatch-7.10-r1.apk2024-05-05 17:28 483K
[   ]emacs-fossil-0_git20230504-r0.apk2024-05-05 08:28 14K
[   ]emacs-derl-0_git20231004-r0.apk2024-05-05 08:28 23K
[   ]trantor-doc-1.5.18-r0.apk2024-05-05 07:25 2.4K
[   ]trantor-dev-1.5.18-r0.apk2024-05-05 07:25 34K
[   ]trantor-1.5.18-r0.apk2024-05-05 07:25 245K
[   ]spotify-player-0.18.1-r0.apk2024-05-05 07:25 3.5M
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-05-05 07:25 27K
[   ]py3-timeago-doc-1.0.16-r0.apk2024-05-05 07:25 2.6K
[   ]py3-timeago-1.0.16-r0.apk2024-05-05 07:25 23K
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-05-05 07:25 90K
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-05-05 07:25 1.9K
[   ]py3-pypubsub-4.0.3-r0.apk2024-05-05 07:25 52K
[   ]php81-pecl-opentelemetry-1.0.3-r0.apk2024-05-05 07:25 12K
[   ]perl-html-object-doc-0.5.0-r0.apk2024-05-05 07:25 470K
[   ]perl-html-object-0.5.0-r0.apk2024-05-05 07:25 348K
[   ]hurl-doc-4.3.0-r0.apk2024-05-05 07:24 7.9K
[   ]hurl-4.3.0-r0.apk2024-05-05 07:24 2.7M
[   ]h4h5tools-static-2.2.5-r3.apk2024-05-05 07:24 114K
[   ]h4h5tools-doc-2.2.5-r3.apk2024-05-05 07:24 2.5K
[   ]h4h5tools-dev-2.2.5-r3.apk2024-05-05 07:24 8.5K
[   ]h4h5tools-2.2.5-r3.apk2024-05-05 07:24 102K
[   ]felix-2.13.0-r0.apk2024-05-05 07:24 603K
[   ]cargo-update-doc-13.4.0-r0.apk2024-05-05 07:24 7.6K
[   ]cargo-update-13.4.0-r0.apk2024-05-05 07:24 1.5M
[   ]mpvpaper-doc-1.5-r0.apk2024-05-04 13:21 3.3K
[   ]mpvpaper-1.5-r0.apk2024-05-04 13:21 25K
[   ]pyradio-pyc-0.9.3.4-r0.apk2024-05-04 13:20 791K
[   ]pyradio-doc-0.9.3.4-r0.apk2024-05-04 13:20 108K
[   ]pyradio-0.9.3.4-r0.apk2024-05-04 13:20 888K
[   ]thumbdrives-0.3.2-r2.apk2024-05-04 00:53 11K
[   ]primesieve-libs-12.3-r0.apk2024-05-01 19:13 96K
[   ]primesieve-doc-12.3-r0.apk2024-05-01 19:13 3.8K
[   ]primesieve-dev-12.3-r0.apk2024-05-01 19:13 1.3M
[   ]primesieve-12.3-r0.apk2024-05-01 19:13 46K
[   ]primecount-libs-7.13-r0.apk2024-05-01 19:13 150K
[   ]primecount-doc-7.13-r0.apk2024-05-01 19:13 3.6K
[   ]primecount-dev-7.13-r0.apk2024-05-01 19:13 2.8M
[   ]primecount-7.13-r0.apk2024-05-01 19:13 31K
[   ]binwalk-pyc-2.4.1-r0.apk2024-05-01 11:33 166K
[   ]binwalk-2.4.1-r0.apk2024-05-01 11:33 147K
[   ]srain-lang-1.7.0-r0.apk2024-05-01 08:29 30K
[   ]srain-1.7.0-r0.apk2024-05-01 08:29 175K
[   ]ghostcloud-0.9.9.5-r2.apk2024-04-30 14:29 449K
[   ]nmon-16q-r0.apk2024-04-30 05:47 83K
[   ]lychee-doc-0.15.1-r0.apk2024-04-30 02:47 11K
[   ]lychee-0.15.1-r0.apk2024-04-30 02:47 5.3M
[   ]toybox-0.8.11-r0.apk2024-04-29 23:08 282K
[   ]smplxmpp-doc-0.9.3-r2.apk2024-04-29 18:07 25K
[   ]smplxmpp-0.9.3-r2.apk2024-04-29 18:07 158K
[   ]py3-owslib-pyc-0.30.0-r0.apk2024-04-29 00:40 387K
[   ]py3-owslib-0.30.0-r0.apk2024-04-29 00:40 180K
[   ]yazi-zsh-completion-0.2.5-r0.apk2024-04-29 00:24 1.8K
[   ]yazi-fish-completion-0.2.5-r0.apk2024-04-29 00:24 1.5K
[   ]yazi-doc-0.2.5-r0.apk2024-04-29 00:24 2.0K
[   ]yazi-cli-0.2.5-r0.apk2024-04-29 00:24 412K
[   ]yazi-bash-completion-0.2.5-r0.apk2024-04-29 00:24 1.8K
[   ]yazi-0.2.5-r0.apk2024-04-29 00:24 4.3M
[   ]py3-microdata-pyc-0.8.0-r0.apk2024-04-28 21:35 6.3K
[   ]py3-microdata-0.8.0-r0.apk2024-04-28 21:35 6.0K
[   ]py3-mbedtls-pyc-2.10.1-r1.apk2024-04-28 21:13 27K
[   ]py3-mbedtls-2.10.1-r1.apk2024-04-28 21:13 900K
[   ]tree-sitter-elixir-0.2.0-r0.apk2024-04-28 11:38 217K
[   ]qt-jdenticon-doc-0.3.0-r0.apk2024-04-27 23:46 2.0K
[   ]qt-jdenticon-0.3.0-r0.apk2024-04-27 23:46 32K
[   ]perl-promise-me-doc-0.4.11-r0.apk2024-04-27 10:34 12K
[   ]perl-promise-me-0.4.11-r0.apk2024-04-27 10:34 25K
[   ]perl-json-path-doc-1.0.6-r0.apk2024-04-27 10:34 12K
[   ]perl-json-path-1.0.6-r0.apk2024-04-27 10:34 16K
[   ]texlab-5.15.0-r0.apk2024-04-26 17:33 8.7M
[   ]py3-cssutils-pyc-2.10.2-r0.apk2024-04-26 15:59 277K
[   ]py3-cssutils-2.10.2-r0.apk2024-04-26 15:59 167K
[   ]gmic-qt-3.3.5-r0.apk2024-04-26 15:48 1.8M
[   ]gmic-libs-3.3.5-r0.apk2024-04-26 15:48 4.7M
[   ]gmic-doc-3.3.5-r0.apk2024-04-26 15:48 219K
[   ]gmic-dev-3.3.5-r0.apk2024-04-26 15:48 7.5K
[   ]gmic-bash-completion-3.3.5-r0.apk2024-04-26 15:48 28K
[   ]gmic-3.3.5-r0.apk2024-04-26 15:48 11M
[   ]gimp-plugin-gmic-3.3.5-r0.apk2024-04-26 15:48 1.4M
[   ]libvmime-dev-0.9.2.175-r0.apk2024-04-26 08:33 22M
[   ]libvmime-dbg-0.9.2.175-r0.apk2024-04-26 08:33 13M
[   ]libvmime-0.9.2.175-r0.apk2024-04-26 08:33 698K
[   ]grommunio-mapi-header-php-1.3-r0.apk2024-04-26 08:32 94K
[   ]grommunio-error-pages-1.0_git20231031-r0.apk2024-04-26 08:32 23K
[   ]openjdk22-static-libs-22.0.1_p8-r2.apk2024-04-26 02:20 21M
[   ]openjdk22-src-22.0.1_p8-r2.apk2024-04-26 02:20 48M
[   ]openjdk22-jre-headless-22.0.1_p8-r2.apk2024-04-26 02:20 62M
[   ]openjdk22-jre-22.0.1_p8-r2.apk2024-04-26 02:20 1.1M
[   ]openjdk22-jmods-22.0.1_p8-r2.apk2024-04-26 02:20 76M
[   ]openjdk22-jdk-22.0.1_p8-r2.apk2024-04-26 02:20 6.6M
[   ]openjdk22-doc-22.0.1_p8-r2.apk2024-04-26 02:20 188K
[   ]openjdk22-demos-22.0.1_p8-r2.apk2024-04-26 02:20 5.2M
[   ]openjdk22-22.0.1_p8-r2.apk2024-04-26 02:20 1.2K
[   ]py3-findpython-pyc-0.6.1-r0.apk2024-04-26 01:13 30K
[   ]py3-findpython-0.6.1-r0.apk2024-04-26 01:13 18K
[   ]py3-beartype-pyc-0.18.5-r0.apk2024-04-25 02:51 525K
[   ]py3-beartype-0.18.5-r0.apk2024-04-25 02:51 731K
[   ]welle-io-doc-2.4-r5.apk2024-04-24 22:50 3.8K
[   ]welle-io-2.4-r5.apk2024-04-24 22:50 452K
[   ]welle-cli-2.4-r5.apk2024-04-24 22:50 292K
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-04-24 22:50 7.9K
[   ]rtl-power-fftw-20200601-r4.apk2024-04-24 22:50 62K
[   ]dnsenum-doc-1.3.2-r0.apk2024-04-24 03:33 5.0K
[   ]dnsenum-1.3.2-r0.apk2024-04-24 03:33 21K
[   ]xcur2png-doc-0.7.1-r0.apk2024-04-23 10:03 2.7K
[   ]xcur2png-0.7.1-r0.apk2024-04-23 10:03 9.2K
[   ]vera++-1.3.0-r10.apk2024-04-22 20:59 259K
[   ]swig3-doc-3.0.12-r3.apk2024-04-22 20:59 3.4K
[   ]swig3-3.0.12-r3.apk2024-04-22 20:59 1.3M
[   ]simgear-dev-2020.3.19-r1.apk2024-04-22 20:59 394K
[   ]simgear-2020.3.19-r1.apk2024-04-22 20:59 1.8M
[   ]restinio-dev-0.6.17-r6.apk2024-04-22 20:59 273K
[   ]restinio-0.6.17-r6.apk2024-04-22 20:59 1.2K
[   ]py3-pynest2d-5.2.2-r4.apk2024-04-22 20:59 271K
[   ]pulseview-doc-0.4.2-r8.apk2024-04-22 20:59 3.4K
[   ]pulseview-0.4.2-r8.apk2024-04-22 20:59 1.0M
[   ]pulsar-client-cpp-dev-3.1.2-r4.apk2024-04-22 20:59 54K
[   ]pulsar-client-cpp-3.1.2-r4.apk2024-04-22 20:59 1.3M
[   ]prjtrellis-1.4-r2.apk2024-04-22 20:59 1.3M
[   ]pebble-le-doc-0.3.0-r1.apk2024-04-22 20:59 3.5K
[   ]pebble-le-dev-0.3.0-r1.apk2024-04-22 20:59 44K
[   ]pebble-le-0.3.0-r1.apk2024-04-22 20:59 66K
[   ]pcl-libs-1.14.0-r1.apk2024-04-22 20:59 20M
[   ]pcl-dev-1.14.0-r1.apk2024-04-22 20:59 1.5M
[   ]pcl-1.14.0-r1.apk2024-04-22 20:59 3.3M
[   ]normaliz-libs-3.10.2-r1.apk2024-04-22 20:59 2.5M
[   ]normaliz-dev-3.10.2-r1.apk2024-04-22 20:59 71K
[   ]normaliz-3.10.2-r1.apk2024-04-22 20:59 41K
[   ]lizardfs-metalogger-openrc-3.13.0-r13.apk2024-04-22 20:58 1.4K
[   ]lizardfs-metalogger-3.13.0-r13.apk2024-04-22 20:58 173K
[   ]lizardfs-master-openrc-3.13.0-r13.apk2024-04-22 20:58 1.4K
[   ]lizardfs-master-3.13.0-r13.apk2024-04-22 20:58 1.0M
[   ]lizardfs-doc-3.13.0-r13.apk2024-04-22 20:58 12K
[   ]lizardfs-client-3.13.0-r13.apk2024-04-22 20:58 1.4M
[   ]lizardfs-chunkserver-openrc-3.13.0-r13.apk2024-04-22 20:58 1.4K
[   ]lizardfs-chunkserver-3.13.0-r13.apk2024-04-22 20:58 400K
[   ]lizardfs-cgiserv-openrc-3.13.0-r13.apk2024-04-22 20:58 1.7K
[   ]lizardfs-cgiserv-3.13.0-r13.apk2024-04-22 20:58 7.1K
[   ]lizardfs-cgi-3.13.0-r13.apk2024-04-22 20:58 31K
[   ]lizardfs-bash-completion-3.13.0-r13.apk2024-04-22 20:58 1.6K
[   ]lizardfs-3.13.0-r13.apk2024-04-22 20:58 152K
[   ]libtins-doc-4.5-r1.apk2024-04-22 20:58 2.1K
[   ]libtins-dev-4.5-r1.apk2024-04-22 20:58 141K
[   ]libtins-4.5-r1.apk2024-04-22 20:58 321K
[   ]libretro-cannonball-0_git20220309-r6.apk2024-04-22 20:58 285K
[   ]libnest2d-dev-0.4-r6.apk2024-04-22 20:58 71K
[   ]libnest2d-0.4-r6.apk2024-04-22 20:58 1.2K
[   ]libeantic-dev-2.0.2-r1.apk2024-04-22 20:58 17K
[   ]libeantic-2.0.2-r1.apk2024-04-22 20:58 80K
[   ]lgogdownloader-doc-3.12-r2.apk2024-04-22 20:58 7.9K
[   ]lgogdownloader-3.12-r2.apk2024-04-22 20:58 366K
[   ]interception-tools-openrc-0.6.8-r2.apk2024-04-22 20:58 1.4K
[   ]interception-tools-0.6.8-r2.apk2024-04-22 20:58 116K
[   ]horizon-tools-0.9.6-r9.apk2024-04-22 20:58 84K
[   ]horizon-image-0.9.6-r9.apk2024-04-22 20:58 69K
[   ]horizon-doc-0.9.6-r9.apk2024-04-22 20:58 21K
[   ]horizon-dev-0.9.6-r9.apk2024-04-22 20:58 4.6K
[   ]horizon-dbg-0.9.6-r9.apk2024-04-22 20:58 4.0M
[   ]horizon-0.9.6-r9.apk2024-04-22 20:58 211K
[   ]gearmand-openrc-1.1.21-r1.apk2024-04-22 20:58 1.6K
[   ]gearmand-doc-1.1.21-r1.apk2024-04-22 20:58 189K
[   ]gearmand-1.1.21-r1.apk2024-04-22 20:58 194K
[   ]gearman-libs-1.1.21-r1.apk2024-04-22 20:58 91K
[   ]gearman-dev-1.1.21-r1.apk2024-04-22 20:58 1.0M
[   ]flightgear-zsh-completion-2020.3.19-r1.apk2024-04-22 20:58 5.2K
[   ]flightgear-doc-2020.3.19-r1.apk2024-04-22 20:58 61K
[   ]flightgear-dbg-2020.3.19-r1.apk2024-04-22 20:58 19M
[   ]flightgear-bash-completion-2020.3.19-r1.apk2024-04-22 20:58 3.9K
[   ]flightgear-2020.3.19-r1.apk2024-04-22 20:58 11M
[   ]eclib-static-20231212-r1.apk2024-04-22 20:58 18M
[   ]eclib-libs-20231212-r1.apk2024-04-22 20:58 1.2M
[   ]eclib-doc-20231212-r1.apk2024-04-22 20:58 28K
[   ]eclib-dev-20231212-r1.apk2024-04-22 20:58 97K
[   ]eclib-20231212-r1.apk2024-04-22 20:58 370K
[   ]cargo-sort-1.0.9_git20240110-r0.apk2024-04-22 20:58 444K
[   ]btfs-doc-2.24-r12.apk2024-04-22 20:58 2.1K
[   ]btfs-2.24-r12.apk2024-04-22 20:58 32K
[   ]brial-dev-1.2.11-r3.apk2024-04-22 20:58 1.7M
[   ]brial-1.2.11-r3.apk2024-04-22 20:58 1.0M
[   ]amule-lang-2.3.3-r13.apk2024-04-22 20:58 1.6M
[   ]amule-doc-2.3.3-r13.apk2024-04-22 20:58 281K
[   ]amule-2.3.3-r13.apk2024-04-22 20:58 3.9M
[   ]olab-0.1.8-r0.apk2024-04-22 08:21 3.6M
[   ]ocaml-xtmpl-dev-0.19.0-r0.apk2024-04-22 08:21 794K
[   ]ocaml-xtmpl-0.19.0-r0.apk2024-04-22 08:21 9.5M
[   ]ocaml-uuseg-tools-14.0.0-r2.apk2024-04-22 08:21 1.4M
[   ]ocaml-uuseg-dev-14.0.0-r2.apk2024-04-22 08:21 54K
[   ]ocaml-uuseg-14.0.0-r2.apk2024-04-22 08:21 95K
[   ]ocaml-uunf-dev-14.0.0-r2.apk2024-04-22 08:21 275K
[   ]ocaml-uunf-14.0.0-r2.apk2024-04-22 08:21 1.3M
[   ]ocaml-uucp-dev-14.0.0-r2.apk2024-04-22 08:21 1.3M
[   ]ocaml-uucp-14.0.0-r2.apk2024-04-22 08:21 5.2M
[   ]ocaml-tsdl-ttf-dev-0.6-r0.apk2024-04-22 08:21 111K
[   ]ocaml-tsdl-ttf-0.6-r0.apk2024-04-22 08:21 63K
[   ]ocaml-tsdl-image-dev-0.6-r0.apk2024-04-22 08:21 80K
[   ]ocaml-tsdl-image-0.6-r0.apk2024-04-22 08:21 49K
[   ]ocaml-tsdl-dev-1.0.0-r0.apk2024-04-22 08:21 611K
[   ]ocaml-tsdl-1.0.0-r0.apk2024-04-22 08:21 913K
[   ]ocaml-stk-dev-0.1.0-r0.apk2024-04-22 08:21 10M
[   ]ocaml-stk-0.1.0-r0.apk2024-04-22 08:21 6.1M
[   ]ocaml-ssl-dev-0.7.0-r0.apk2024-04-22 08:21 210K
[   ]ocaml-ssl-0.7.0-r0.apk2024-04-22 08:21 97K
[   ]ocaml-ppx_blob-dev-0.8.0-r0.apk2024-04-22 08:21 19K
[   ]ocaml-ppx_blob-0.8.0-r0.apk2024-04-22 08:21 4.0M
[   ]ocaml-otoml-dev-1.0.5-r0.apk2024-04-22 08:21 798K
[   ]ocaml-otoml-1.0.5-r0.apk2024-04-22 08:21 446K
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-04-22 08:21 266K
[   ]ocaml-mqtt-0.2.2-r0.apk2024-04-22 08:21 159K
[   ]ocaml-magic-mime-dev-1.3.1-r0.apk2024-04-22 08:21 343K
[   ]ocaml-magic-mime-1.3.1-r0.apk2024-04-22 08:21 210K
[   ]ocaml-lwt_ssl-dev-1.2.0-r0.apk2024-04-22 08:21 49K
[   ]ocaml-lwt_ssl-1.2.0-r0.apk2024-04-22 08:21 31K
[   ]ocaml-jsonm-tools-1.0.2-r0.apk2024-04-22 08:21 474K
[   ]ocaml-jsonm-dev-1.0.2-r0.apk2024-04-22 08:21 75K
[   ]ocaml-jsonm-1.0.2-r0.apk2024-04-22 08:21 120K
[   ]ocaml-iso8601-dev-0.2.6-r0.apk2024-04-22 08:21 90K
[   ]ocaml-iso8601-0.2.6-r0.apk2024-04-22 08:21 54K
[   ]ocaml-iri-dev-1.0.0-r0.apk2024-04-22 08:21 804K
[   ]ocaml-iri-1.0.0-r0.apk2024-04-22 08:21 1.8M
[   ]ocaml-higlo-dev-0.9-r0.apk2024-04-22 08:21 707K
[   ]ocaml-higlo-0.9-r0.apk2024-04-22 08:21 5.2M
[   ]ocaml-gitlab-dev-0.1.8-r0.apk2024-04-22 08:21 12M
[   ]ocaml-gitlab-0.1.8-r0.apk2024-04-22 08:21 3.0M
[   ]ocaml-ezxmlm-dev-1.1.0-r0.apk2024-04-22 08:21 53K
[   ]ocaml-ezxmlm-1.1.0-r0.apk2024-04-22 08:21 33K
[   ]ocaml-erm_xmpp-dev-0_git20220404-r2.apk2024-04-22 08:21 1.7M
[   ]ocaml-erm_xmpp-0_git20220404-r2.apk2024-04-22 08:21 1.3M
[   ]ocaml-conduit-dev-6.1.0-r0.apk2024-04-22 08:21 562K
[   ]ocaml-conduit-6.1.0-r0.apk2024-04-22 08:21 295K
[   ]ocaml-cohttp-tools-5.3.1-r0.apk2024-04-22 08:21 8.0M
[   ]ocaml-cohttp-dev-5.3.1-r0.apk2024-04-22 08:21 1.3M
[   ]ocaml-cohttp-5.3.1-r0.apk2024-04-22 08:21 683K
[   ]ocaml-atd-dev-2.15.0-r0.apk2024-04-22 08:21 1.9M
[   ]ocaml-atd-2.15.0-r0.apk2024-04-22 08:21 7.1M
[   ]ocaml-amqp-client-dev-2.3.0-r0.apk2024-04-22 08:21 1.1M
[   ]ocaml-amqp-client-2.3.0-r0.apk2024-04-22 08:21 610K
[   ]ocaml-alcotest-dev-1.5.0-r4.apk2024-04-22 08:21 846K
[   ]ocaml-alcotest-1.5.0-r4.apk2024-04-22 08:21 473K
[   ]jackline-0.1.0-r3.apk2024-04-22 08:21 4.1M
[   ]chamo-dev-4.0-r0.apk2024-04-22 08:21 4.2M
[   ]chamo-byte-4.0-r0.apk2024-04-22 08:21 1.5M
[   ]chamo-4.0-r0.apk2024-04-22 08:21 6.7M
[   ]greetd-wlgreet-0.5.0-r0.apk2024-04-22 01:52 645K
[   ]py3-snapshottest-pyc-0.6.0-r4.apk2024-04-19 16:28 25K
[   ]py3-snapshottest-0.6.0-r4.apk2024-04-19 16:28 14K
[   ]maxima-emacs-5.47.0-r7.apk2024-04-19 08:22 111K
[   ]maxima-doc-extra-5.47.0-r7.apk2024-04-19 08:22 10M
[   ]maxima-doc-5.47.0-r7.apk2024-04-19 08:22 761K
[   ]maxima-bash-completion-5.47.0-r7.apk2024-04-19 08:22 2.1K
[   ]maxima-5.47.0-r7.apk2024-04-19 08:22 21M
[   ]extrace-doc-0.9-r0.apk2024-04-18 21:40 3.3K
[   ]extrace-0.9-r0.apk2024-04-18 21:40 11K
[   ]turn-rs-openrc-2.1.3-r0.apk2024-04-18 16:04 1.7K
[   ]turn-rs-doc-2.1.3-r0.apk2024-04-18 16:04 13K
[   ]turn-rs-cli-2.1.3-r0.apk2024-04-18 16:04 935K
[   ]turn-rs-balance-2.1.3-r0.apk2024-04-18 16:04 551K
[   ]turn-rs-2.1.3-r0.apk2024-04-18 16:04 1.2M
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-04-18 16:00 9.4K
[   ]py3-iso639-lang-2.2.3-r0.apk2024-04-18 16:00 269K
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-04-18 15:59 13K
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-04-18 15:59 12K
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-04-18 15:59 24K
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-04-18 15:59 24K
[   ]yoshimi-doc-2.3.2-r0.apk2024-04-18 15:54 4.5M
[   ]yoshimi-2.3.2-r0.apk2024-04-18 15:54 5.9M
[   ]supermin-doc-5.2.2-r2.apk2024-04-18 13:10 9.1K
[   ]supermin-5.2.2-r2.apk2024-04-18 13:10 536K
[   ]coccinelle-doc-1.1.1-r2.apk2024-04-18 13:10 16K
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-04-18 13:10 2.6K
[   ]coccinelle-1.1.1-r2.apk2024-04-18 13:10 7.1M
[   ]yaru-theme-viridian-23.10.0-r0.apk2024-04-18 04:08 770K
[   ]yaru-theme-sage-23.10.0-r0.apk2024-04-18 04:08 773K
[   ]yaru-theme-red-23.10.0-r0.apk2024-04-18 04:08 770K
[   ]yaru-theme-purple-23.10.0-r0.apk2024-04-18 04:08 767K
[   ]yaru-theme-prussiangreen-23.10.0-r0.apk2024-04-18 04:08 769K
[   ]yaru-theme-olive-23.10.0-r0.apk2024-04-18 04:08 769K
[   ]yaru-theme-mate-23.10.0-r0.apk2024-04-18 04:08 735K
[   ]yaru-theme-magenta-23.10.0-r0.apk2024-04-18 04:08 771K
[   ]yaru-theme-hdpi-23.10.0-r0.apk2024-04-18 04:08 78K
[   ]yaru-theme-blue-23.10.0-r0.apk2024-04-18 04:08 775K
[   ]yaru-theme-bark-23.10.0-r0.apk2024-04-18 04:08 773K
[   ]yaru-theme-23.10.0-r0.apk2024-04-18 04:08 856K
[   ]yaru-sounds-23.10.0-r0.apk2024-04-18 04:08 676K
[   ]yaru-shell-23.10.0-r0.apk2024-04-18 04:08 745K
[   ]yaru-schemas-23.10.0-r0.apk2024-04-18 04:08 1.6K
[   ]yaru-icon-theme-viridian-23.10.0-r0.apk2024-04-18 04:08 1.1M
[   ]yaru-icon-theme-sage-23.10.0-r0.apk2024-04-18 04:08 1.1M
[   ]yaru-icon-theme-red-23.10.0-r0.apk2024-04-18 04:08 1.1M
[   ]yaru-icon-theme-purple-23.10.0-r0.apk2024-04-18 04:08 1.1M
[   ]yaru-icon-theme-prussiangreen-23.10.0-r0.apk2024-04-18 04:08 1.1M
[   ]yaru-icon-theme-olive-23.10.0-r0.apk2024-04-18 04:08 1.1M
[   ]yaru-icon-theme-mate-23.10.0-r0.apk2024-04-18 04:08 1.2M
[   ]yaru-icon-theme-magenta-23.10.0-r0.apk2024-04-18 04:08 1.1M
[   ]yaru-icon-theme-blue-23.10.0-r0.apk2024-04-18 04:08 1.1M
[   ]yaru-icon-theme-bark-23.10.0-r0.apk2024-04-18 04:08 1.0M
[   ]yaru-icon-theme-23.10.0-r0.apk2024-04-18 04:08 35M
[   ]yaru-common-23.10.0-r0.apk2024-04-18 04:08 4.4K
[   ]reprotest-pyc-0.7.27-r0.apk2024-04-18 01:52 103K
[   ]reprotest-0.7.27-r0.apk2024-04-18 01:52 81K
[   ]xml2rfc-pyc-3.21.0-r0.apk2024-04-17 15:39 407K
[   ]xml2rfc-3.21.0-r0.apk2024-04-17 15:39 353K
[   ]jedi-language-server-pyc-0.41.4-r0.apk2024-04-17 15:39 37K
[   ]jedi-language-server-0.41.4-r0.apk2024-04-17 15:39 26K
[   ]cdogs-sdl-2.1.0-r0.apk2024-04-17 15:39 34M
[   ]py3-u-msgpack-pyc-2.8.0-r1.apk2024-04-17 04:55 16K
[   ]py3-u-msgpack-2.8.0-r1.apk2024-04-17 04:55 10K
[   ]py3-pytest-expect-pyc-1.1.0-r9.apk2024-04-17 04:55 6.7K
[   ]py3-pytest-expect-1.1.0-r9.apk2024-04-17 04:55 4.7K
[   ]py3-livestream-pyc-2.0.0-r2.apk2024-04-17 04:55 30K
[   ]py3-livestream-2.0.0-r2.apk2024-04-17 04:55 767K
[   ]perl-x-tiny-doc-0.22-r0.apk2024-04-16 19:38 7.3K
[   ]perl-x-tiny-0.22-r0.apk2024-04-16 19:38 6.6K
[   ]perl-test-settings-doc-0.003-r0.apk2024-04-16 19:38 5.8K
[   ]perl-test-settings-0.003-r0.apk2024-04-16 19:38 4.7K
[   ]perl-test-describeme-doc-0.004-r0.apk2024-04-16 19:38 3.9K
[   ]perl-test-describeme-0.004-r0.apk2024-04-16 19:38 3.3K
[   ]perl-net-mqtt-trace-1.163170-r0.apk2024-04-16 19:38 4.1K
[   ]perl-net-mqtt-simple-ssl-1.29-r0.apk2024-04-16 19:38 3.1K
[   ]perl-net-mqtt-simple-doc-1.29-r0.apk2024-04-16 19:38 11K
[   ]perl-net-mqtt-simple-1.29-r0.apk2024-04-16 19:38 12K
[   ]perl-net-mqtt-doc-1.163170-r0.apk2024-04-16 19:38 35K
[   ]perl-net-mqtt-1.163170-r0.apk2024-04-16 19:38 13K
[   ]perl-anyevent-mqtt-monitor-1.212810-r0.apk2024-04-16 19:38 4.3K
[   ]perl-anyevent-mqtt-doc-1.212810-r0.apk2024-04-16 19:38 11K
[   ]perl-anyevent-mqtt-1.212810-r0.apk2024-04-16 19:38 11K
[   ]perl-anyevent-mocktcpserver-doc-1.172150-r0.apk2024-04-16 19:38 4.3K
[   ]perl-anyevent-mocktcpserver-1.172150-r0.apk2024-04-16 19:38 4.7K
[   ]rizin-cutter-dev-2.3.2-r2.apk2024-04-16 18:48 108K
[   ]rizin-cutter-2.3.2-r2.apk2024-04-16 18:48 2.2M
[   ]thunarx-python-doc-0.5.2-r2.apk2024-04-16 18:34 25K
[   ]thunarx-python-0.5.2-r2.apk2024-04-16 18:34 10K
[   ]py3-pcbnewtransition-pyc-0.4.1-r0.apk2024-04-16 18:22 9.3K
[   ]py3-pcbnewtransition-0.4.1-r0.apk2024-04-16 18:22 7.5K
[   ]py3-kikit-pyc-1.5.1-r0.apk2024-04-16 18:22 268K
[   ]py3-kikit-1.5.1-r0.apk2024-04-16 18:22 236K
[   ]pitivi-pyc-2023.03-r1.apk2024-04-16 18:11 703K
[   ]pitivi-lang-2023.03-r1.apk2024-04-16 18:11 680K
[   ]pitivi-2023.03-r1.apk2024-04-16 18:11 2.2M
[   ]muse-doc-4.2.1-r1.apk2024-04-16 18:11 4.1M
[   ]muse-4.2.1-r1.apk2024-04-16 18:11 6.4M
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-04-16 13:29 3.4K
[   ]perl-test-randomresult-0.001-r0.apk2024-04-16 13:29 3.3K
[   ]perl-io-lambda-doc-1.33-r0.apk2024-04-16 13:29 68K
[   ]perl-io-lambda-1.33-r0.apk2024-04-16 13:29 75K
[   ]perl-color-rgb-util-doc-0.607-r0.apk2024-04-16 13:29 7.1K
[   ]perl-color-rgb-util-0.607-r0.apk2024-04-16 13:29 9.1K
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-04-16 13:29 4.9K
[   ]perl-color-ansi-util-0.165-r0.apk2024-04-16 13:29 7.0K
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-04-16 13:29 29K
[   ]perl-algorithm-backoff-0.010-r0.apk2024-04-16 13:29 9.3K
[   ]ruff-lsp-pyc-0.0.53-r0.apk2024-04-16 13:27 34K
[   ]ruff-lsp-0.0.53-r0.apk2024-04-16 13:27 21K
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-04-16 03:33 34K
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-04-16 03:33 2.9K
[   ]caffeine-ng-4.2.0-r1.apk2024-04-16 03:33 100K
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-04-16 02:40 9.0K
[   ]py3-netifaces2-0.0.22-r0.apk2024-04-16 02:40 209K
[   ]octoprint-pisupport-pyc-2023.10.10-r0.apk2024-04-16 02:40 13K
[   ]octoprint-pisupport-2023.10.10-r0.apk2024-04-16 02:40 31K
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r1.apk2024-04-16 02:40 17K
[   ]octoprint-firmwarecheck-2021.10.11-r1.apk2024-04-16 02:40 29K
[   ]octoprint-filecheck-pyc-2024.3.27-r0.apk2024-04-16 02:40 11K
[   ]octoprint-filecheck-2024.3.27-r0.apk2024-04-16 02:40 28K
[   ]octoprint-creality2xfix-pyc-0.0.4-r1.apk2024-04-16 02:40 3.1K
[   ]octoprint-creality2xfix-0.0.4-r1.apk2024-04-16 02:40 4.5K
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-04-16 01:47 7.6K
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-04-16 01:47 9.9K
[   ]ydcv-zsh-completion-0.7-r7.apk2024-04-15 23:52 1.9K
[   ]ydcv-pyc-0.7-r7.apk2024-04-15 23:52 10K
[   ]ydcv-0.7-r7.apk2024-04-15 23:52 7.5K
[   ]yamkix-pyc-0.10.0-r1.apk2024-04-15 23:52 12K
[   ]yamkix-0.10.0-r1.apk2024-04-15 23:52 14K
[   ]xandikos-pyc-0.2.11-r1.apk2024-04-15 23:52 188K
[   ]xandikos-openrc-0.2.11-r1.apk2024-04-15 23:52 2.0K
[   ]xandikos-doc-0.2.11-r1.apk2024-04-15 23:52 2.1K
[   ]xandikos-0.2.11-r1.apk2024-04-15 23:52 92K
[   ]wok-pyc-3.0.0-r6.apk2024-04-15 23:52 118K
[   ]wok-lang-3.0.0-r6.apk2024-04-15 23:52 16K
[   ]wok-doc-3.0.0-r6.apk2024-04-15 23:52 3.4K
[   ]wok-3.0.0-r6.apk2024-04-15 23:52 156K
[   ]whipper-pyc-0.10.0-r5.apk2024-04-15 23:52 185K
[   ]whipper-0.10.0-r5.apk2024-04-15 23:52 113K
[   ]vit-pyc-2.3.2-r1.apk2024-04-15 23:52 151K
[   ]vit-2.3.2-r1.apk2024-04-15 23:52 80K
[   ]visidata-zsh-completion-2.11.1-r2.apk2024-04-15 23:52 6.9K
[   ]visidata-pyc-2.11.1-r2.apk2024-04-15 23:52 497K
[   ]visidata-doc-2.11.1-r2.apk2024-04-15 23:52 16K
[   ]visidata-2.11.1-r2.apk2024-04-15 23:52 248K
[   ]venc-pyc-3.1.1-r1.apk2024-04-15 23:52 115K
[   ]venc-3.1.1-r1.apk2024-04-15 23:52 158K
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-04-15 23:52 1.5K
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-04-15 23:52 1.4K
[   ]vcstool-pyc-0.3.0-r5.apk2024-04-15 23:52 57K
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-04-15 23:52 1.5K
[   ]vcstool-0.3.0-r5.apk2024-04-15 23:52 35K
[   ]uranium-5.2.2-r3.apk2024-04-15 23:52 595K
[   ]tldr-python-client-pyc-3.2.0-r1.apk2024-04-15 23:52 13K
[   ]tldr-python-client-doc-3.2.0-r1.apk2024-04-15 23:52 3.2K
[   ]tldr-python-client-3.2.0-r1.apk2024-04-15 23:52 11K
[   ]thefuck-pyc-3.32-r3.apk2024-04-15 23:52 155K
[   ]thefuck-3.32-r3.apk2024-04-15 23:52 74K
[   ]syncthing-gtk-pyc-0.9.4.5-r1.apk2024-04-15 23:52 220K
[   ]syncthing-gtk-doc-0.9.4.5-r1.apk2024-04-15 23:52 2.0K
[   ]syncthing-gtk-0.9.4.5-r1.apk2024-04-15 23:52 424K
[   ]synadm-pyc-0.46-r1.apk2024-04-15 23:52 66K
[   ]synadm-0.46-r1.apk2024-04-15 23:52 56K
[   ]subdl-pyc-0_git20230616-r1.apk2024-04-15 23:52 14K
[   ]subdl-0_git20230616-r1.apk2024-04-15 23:52 8.4K
[   ]sigma-pyc-0.23.1-r1.apk2024-04-15 23:52 340K
[   ]sigma-0.23.1-r1.apk2024-04-15 23:52 237K
[   ]screenkey-pyc-1.5-r6.apk2024-04-15 23:52 73K
[   ]screenkey-doc-1.5-r6.apk2024-04-15 23:52 11K
[   ]screenkey-1.5-r6.apk2024-04-15 23:52 77K
[   ]ruby-libguestfs-1.52.0-r1.apk2024-04-15 23:52 109K
[   ]rosdep-pyc-0.19.0-r5.apk2024-04-15 23:52 119K
[   ]rosdep-0.19.0-r5.apk2024-04-15 23:52 64K
[   ]rmlint-shredder-pyc-2.10.2-r1.apk2024-04-15 23:52 124K
[   ]rmlint-shredder-2.10.2-r1.apk2024-04-15 23:52 96K
[   ]rmlint-lang-2.10.2-r1.apk2024-04-15 23:52 19K
[   ]rmlint-doc-2.10.2-r1.apk2024-04-15 23:52 18K
[   ]rmlint-2.10.2-r1.apk2024-04-15 23:52 149K
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-04-15 23:52 73K
[   ]rhasspy-nlu-0.4.0-r3.apk2024-04-15 23:52 43K
[   ]remind-caldav-pyc-0.8.0-r3.apk2024-04-15 23:52 5.9K
[   ]remind-caldav-0.8.0-r3.apk2024-04-15 23:52 6.2K
[   ]recoll-doc-1.37.5-r1.apk2024-04-15 23:52 21K
[   ]recoll-dev-1.37.5-r1.apk2024-04-15 23:52 53K
[   ]recoll-1.37.5-r1.apk2024-04-15 23:52 2.7M
[   ]razercfg-pyc-0.42-r6.apk2024-04-15 23:52 35K
[   ]razercfg-openrc-0.42-r6.apk2024-04-15 23:52 1.5K
[   ]razercfg-gui-0.42-r6.apk2024-04-15 23:52 19K
[   ]razercfg-0.42-r6.apk2024-04-15 23:52 88K
[   ]randrctl-pyc-1.9.0-r5.apk2024-04-15 23:52 31K
[   ]randrctl-1.9.0-r5.apk2024-04-15 23:52 16K
[   ]quodlibet-zsh-completion-4.6.0-r1.apk2024-04-15 23:52 2.5K
[   ]quodlibet-pyc-4.6.0-r1.apk2024-04-15 23:52 1.8M
[   ]quodlibet-lang-4.6.0-r1.apk2024-04-15 23:52 1.2M
[   ]quodlibet-doc-4.6.0-r1.apk2024-04-15 23:52 8.5K
[   ]quodlibet-bash-completion-4.6.0-r1.apk2024-04-15 23:52 4.4K
[   ]quodlibet-4.6.0-r1.apk2024-04-15 23:52 1.0M
[   ]qtile-pyc-0.23.0-r1.apk2024-04-15 23:52 724K
[   ]qtile-0.23.0-r1.apk2024-04-15 23:52 375K
[   ]qspectrumanalyzer-pyc-2.2.0-r4.apk2024-04-15 23:52 62K
[   ]qspectrumanalyzer-2.2.0-r4.apk2024-04-15 23:52 40K
[   ]qmk-cli-pyc-1.1.5-r1.apk2024-04-15 23:52 20K
[   ]qmk-cli-1.1.5-r1.apk2024-04-15 23:52 14K
[   ]pypykatz-pyc-0.6.9-r1.apk2024-04-15 23:52 698K
[   ]pypykatz-0.6.9-r1.apk2024-04-15 23:52 314K
[   ]pympress-pyc-1.8.5-r1.apk2024-04-15 23:52 182K
[   ]pympress-lang-1.8.5-r1.apk2024-04-15 23:52 56K
[   ]pympress-doc-1.8.5-r1.apk2024-04-15 23:52 348K
[   ]pympress-1.8.5-r1.apk2024-04-15 23:52 181K
[   ]py3-zope-schema-pyc-7.0.1-r2.apk2024-04-15 23:52 61K
[   ]py3-zope-schema-7.0.1-r2.apk2024-04-15 23:52 43K
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r1.apk2024-04-15 23:52 7.8K
[   ]py3-zope-i18nmessageid-6.1.0-r1.apk2024-04-15 23:52 15K
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-04-15 23:52 48K
[   ]py3-zope-configuration-5.0.1-r2.apk2024-04-15 23:52 39K
[   ]py3-zfs-autobackup-pyc-3.2.2-r1.apk2024-04-15 23:52 70K
[   ]py3-zfs-autobackup-3.2.2-r1.apk2024-04-15 23:52 55K
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-04-15 23:52 47K
[   ]py3-yapsy-1.12.2-r7.apk2024-04-15 23:52 32K
[   ]py3-xlwt-pyc-1.3.0-r9.apk2024-04-15 23:52 165K
[   ]py3-xlwt-1.3.0-r9.apk2024-04-15 23:52 94K
[   ]py3-xapp-2.4.1-r1.apk2024-04-15 23:52 34K
[   ]py3-x-wr-timezone-pyc-0.0.7-r1.apk2024-04-15 23:52 6.1K
[   ]py3-x-wr-timezone-0.0.7-r1.apk2024-04-15 23:52 11K
[   ]py3-wtf-peewee-pyc-3.0.5-r1.apk2024-04-15 23:52 25K
[   ]py3-wtf-peewee-3.0.5-r1.apk2024-04-15 23:52 12K
[   ]py3-wstools-pyc-0.4.10-r6.apk2024-04-15 23:52 111K
[   ]py3-wstools-0.4.10-r6.apk2024-04-15 23:52 52K
[   ]py3-wifi-pyc-0.3.8-r6.apk2024-04-15 23:52 14K
[   ]py3-wifi-0.3.8-r6.apk2024-04-15 23:52 12K
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-04-15 23:52 13K
[   ]py3-wg-netns-2.3.1-r1.apk2024-04-15 23:52 7.3K
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-04-15 23:52 19K
[   ]py3-wbdata-1.0.0-r1.apk2024-04-15 23:52 18K
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-04-15 23:52 79K
[   ]py3-ward-0.67.0_beta0-r2.apk2024-04-15 23:52 40K
[   ]py3-visitor-pyc-0.1.3-r6.apk2024-04-15 23:52 2.4K
[   ]py3-visitor-0.1.3-r6.apk2024-04-15 23:52 4.0K
[   ]py3-virtualenvwrapper-pyc-6.1.0-r1.apk2024-04-15 23:52 11K
[   ]py3-virtualenvwrapper-6.1.0-r1.apk2024-04-15 23:52 22K
[   ]py3-venusian-pyc-3.1.0-r1.apk2024-04-15 23:52 12K
[   ]py3-venusian-3.1.0-r1.apk2024-04-15 23:52 14K
[   ]py3-vdf-pyc-3.4-r1.apk2024-04-15 23:52 16K
[   ]py3-vdf-3.4-r1.apk2024-04-15 23:52 11K
[   ]py3-vatnumber-pyc-1.2-r8.apk2024-04-15 23:52 8.4K
[   ]py3-vatnumber-1.2-r8.apk2024-04-15 23:52 6.0K
[   ]py3-utils-pyc-3.8.1-r1.apk2024-04-15 23:52 37K
[   ]py3-utils-3.8.1-r1.apk2024-04-15 23:52 25K
[   ]py3-utc-pyc-0.0.3-r8.apk2024-04-15 23:52 2.5K
[   ]py3-utc-0.0.3-r8.apk2024-04-15 23:52 3.0K
[   ]py3-us-pyc-3.1.1-r1.apk2024-04-15 23:52 15K
[   ]py3-us-3.1.1-r1.apk2024-04-15 23:52 12K
[   ]py3-urlobject-pyc-2.4.3-r8.apk2024-04-15 23:52 24K
[   ]py3-urlobject-2.4.3-r8.apk2024-04-15 23:52 14K
[   ]py3-uritools-pyc-4.0.2-r1.apk2024-04-15 23:52 14K
[   ]py3-uritools-4.0.2-r1.apk2024-04-15 23:52 10K
[   ]py3-uptime-pyc-3.0.1-r8.apk2024-04-15 23:52 8.5K
[   ]py3-uptime-3.0.1-r8.apk2024-04-15 23:52 8.7K
[   ]py3-unidns-pyc-0.0.1-r2.apk2024-04-15 23:52 21K
[   ]py3-unidns-examples-0.0.1-r2.apk2024-04-15 23:52 2.4K
[   ]py3-unidns-0.0.1-r2.apk2024-04-15 23:52 13K
[   ]py3-unicrypto-pyc-0.0.10-r2.apk2024-04-15 23:52 94K
[   ]py3-unicrypto-0.0.10-r2.apk2024-04-15 23:52 61K
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-04-15 23:52 8.8K
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-04-15 23:52 14K
[   ]py3-typing_inspect-0.9.0-r2.apk2024-04-15 23:52 9.7K
[   ]py3-twiggy-pyc-0.5.1-r3.apk2024-04-15 23:52 38K
[   ]py3-twiggy-0.5.1-r3.apk2024-04-15 23:52 22K
[   ]py3-trivup-pyc-0.12.2-r1.apk2024-04-15 23:52 55K
[   ]py3-trivup-0.12.2-r1.apk2024-04-15 23:52 33K
[   ]py3-translationstring-pyc-1.4-r3.apk2024-04-15 23:52 8.5K
[   ]py3-translationstring-1.4-r3.apk2024-04-15 23:52 7.7K
[   ]py3-transitions-pyc-0.9.0-r3.apk2024-04-15 23:52 114K
[   ]py3-transitions-0.9.0-r3.apk2024-04-15 23:52 85K
[   ]py3-tlslite-ng-pyc-0.7.6-r7.apk2024-04-15 23:52 275K
[   ]py3-tlslite-ng-0.7.6-r7.apk2024-04-15 23:52 166K
[   ]py3-tls_parser-pyc-2.0.1-r1.apk2024-04-15 23:52 17K
[   ]py3-tls_parser-2.0.1-r1.apk2024-04-15 23:52 8.9K
[   ]py3-tidalapi-pyc-0.7.4-r1.apk2024-04-15 23:52 62K
[   ]py3-tidalapi-0.7.4-r1.apk2024-04-15 23:52 35K
[   ]py3-ticket-auth-pyc-0.1.4-r8.apk2024-04-15 23:52 6.1K
[   ]py3-ticket-auth-0.1.4-r8.apk2024-04-15 23:52 5.4K
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-04-15 23:52 8.7K
[   ]py3-thefuzz-0.22.1-r1.apk2024-04-15 23:52 9.8K
[   ]py3-tg-pyc-0.19.0-r4.apk2024-04-15 23:52 80K
[   ]py3-tg-0.19.0-r4.apk2024-04-15 23:52 35K
[   ]py3-textual-pyc-0.47.1-r1.apk2024-04-15 23:52 871K
[   ]py3-textual-0.47.1-r1.apk2024-04-15 23:52 439K
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-04-15 23:52 20K
[   ]py3-teletype-1.3.4-r3.apk2024-04-15 23:52 15K
[   ]py3-telemetrix-pyc-1.20-r2.apk2024-04-15 23:52 31K
[   ]py3-telemetrix-1.20-r2.apk2024-04-15 23:52 21K
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-04-15 23:52 13K
[   ]py3-telegram-text-0.2.0-r1.apk2024-04-15 23:52 8.9K
[   ]py3-telegram-pyc-0.18.0-r2.apk2024-04-15 23:52 21K
[   ]py3-telegram-bot-pyc-20.8-r1.apk2024-04-15 23:52 654K
[   ]py3-telegram-bot-20.8-r1.apk2024-04-15 23:52 386K
[   ]py3-telegram-0.18.0-r2.apk2024-04-15 23:52 12K
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-04-15 23:52 51K
[   ]py3-tasklib-2.5.1-r2.apk2024-04-15 23:52 23K
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-04-15 23:52 6.4K
[   ]py3-tailer-0.4.1-r7.apk2024-04-15 23:52 6.6K
[   ]py3-syrupy-pyc-4.6.1-r1.apk2024-04-15 23:52 70K
[   ]py3-syrupy-4.6.1-r1.apk2024-04-15 23:52 42K
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-04-15 23:52 1.8K
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-04-15 23:52 2.5M
[   ]py3-sstash-pyc-0.17-r8.apk2024-04-15 23:52 10K
[   ]py3-sstash-0.17-r8.apk2024-04-15 23:52 7.2K
[   ]py3-spotipy-pyc-2.23.0-r2.apk2024-04-15 23:52 48K
[   ]py3-spotipy-2.23.0-r2.apk2024-04-15 23:52 28K
[   ]py3-spnego-pyc-0.10.2-r1.apk2024-04-15 23:52 219K
[   ]py3-spnego-0.10.2-r1.apk2024-04-15 23:52 119K
[   ]py3-spinners-pyc-0.0.24-r4.apk2024-04-15 23:52 6.0K
[   ]py3-spinners-0.0.24-r4.apk2024-04-15 23:52 5.5K
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-04-15 23:52 5.4K
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-04-15 23:52 5.8K
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-04-15 23:52 4.7K
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-04-15 23:52 7.1K
[   ]py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk2024-04-15 23:52 19K
[   ]py3-sphinxcontrib-spelling-8.0.0-r3.apk2024-04-15 23:52 15K
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk2024-04-15 23:52 5.3K
[   ]py3-sphinxcontrib-slide-1.0.0-r3.apk2024-04-15 23:52 4.7K
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-04-15 23:52 9.0K
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-04-15 23:52 7.4K
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-04-15 23:52 20K
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-04-15 23:52 11K
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r4.apk2024-04-15 23:52 24K
[   ]py3-sphinxcontrib-programoutput-0.17-r4.apk2024-04-15 23:52 16K
[   ]py3-sphinxcontrib-phpdomain-pyc-0.11.2-r1.apk2024-04-15 23:52 17K
[   ]py3-sphinxcontrib-phpdomain-0.11.2-r1.apk2024-04-15 23:52 11K
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-04-15 23:52 11K
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-04-15 23:52 8.5K
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-04-15 23:52 2.9K
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-04-15 23:52 3.9K
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-04-15 23:52 10K
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-04-15 23:52 7.7K
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-04-15 23:52 12K
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-04-15 23:52 11K
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-04-15 23:52 15K
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-04-15 23:52 10K
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-04-15 23:52 44K
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-04-15 23:52 21K
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-04-15 23:52 34K
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-04-15 23:52 4.1K
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-04-15 23:52 18K
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-04-15 23:52 15K
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-04-15 23:52 10K
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r7.apk2024-04-15 23:52 7.4K
[   ]py3-sphinxcontrib-gravatar-0.1.2-r7.apk2024-04-15 23:52 6.5K
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-04-15 23:52 6.4K
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-04-15 23:52 17K
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-04-15 23:52 3.1K
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-04-15 23:52 3.7K
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-04-15 23:52 3.2K
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-04-15 23:52 8.9K
[   ]py3-sphinxcontrib-doxylink-pyc-1.12.2-r2.apk2024-04-15 23:52 16K
[   ]py3-sphinxcontrib-doxylink-1.12.2-r2.apk2024-04-15 23:52 12K
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-04-15 23:52 33K
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-04-15 23:52 18K
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-04-15 23:52 4.0K
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-04-15 23:52 5.5K
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-04-15 23:52 8.9K
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-04-15 23:52 7.3K
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-04-15 23:52 3.8K
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-04-15 23:52 5.4K
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-04-15 23:52 12K
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-04-15 23:52 8.7K
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-04-15 23:52 2.0K
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-04-15 23:52 8.6K
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r6.apk2024-04-15 23:52 5.3K
[   ]py3-sphinx-theme-guzzle-0.7.11-r6.apk2024-04-15 23:52 2.4M
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-04-15 23:52 2.2K
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-04-15 23:52 30K
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-04-15 23:52 43K
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-04-15 23:52 81K
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-04-15 23:52 1.6K
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-04-15 23:52 65K
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r3.apk2024-04-15 23:52 2.1K
[   ]py3-sphinx-theme-bootstrap-0.8.1-r3.apk2024-04-15 23:52 1.1M
[   ]py3-sphinx-theme-better-pyc-0.1.5-r6.apk2024-04-15 23:52 1.7K
[   ]py3-sphinx-theme-better-0.1.5-r6.apk2024-04-15 23:52 8.8K
[   ]py3-sphinx-argparse-pyc-0.4.0-r3.apk2024-04-15 23:52 21K
[   ]py3-sphinx-argparse-0.4.0-r3.apk2024-04-15 23:52 14K
[   ]py3-sortedcollections-pyc-2.1.0-r4.apk2024-04-15 23:52 14K
[   ]py3-sortedcollections-2.1.0-r4.apk2024-04-15 23:52 10K
[   ]py3-solidpython-pyc-1.1.2-r2.apk2024-04-15 23:52 120K
[   ]py3-solidpython-1.1.2-r2.apk2024-04-15 23:52 78K
[   ]py3-soapy_power-pyc-1.6.1-r4.apk2024-04-15 23:52 26K
[   ]py3-soapy_power-1.6.1-r4.apk2024-04-15 23:52 16K
[   ]py3-soappy-pyc-0.52.28-r2.apk2024-04-15 23:52 96K
[   ]py3-soappy-0.52.28-r2.apk2024-04-15 23:52 47K
[   ]py3-slidge-style-parser-pyc-0.1.6-r1.apk2024-04-15 23:52 1.7K
[   ]py3-slidge-style-parser-0.1.6-r1.apk2024-04-15 23:52 218K
[   ]py3-simplespectral-pyc-1.0.0-r4.apk2024-04-15 23:52 7.8K
[   ]py3-simplespectral-1.0.0-r4.apk2024-04-15 23:52 6.4K
[   ]py3-simplesoapy-pyc-1.5.1-r6.apk2024-04-15 23:52 12K
[   ]py3-simplesoapy-1.5.1-r6.apk2024-04-15 23:52 6.7K
[   ]py3-simplematch-pyc-1.4-r1.apk2024-04-15 23:52 5.5K
[   ]py3-simplematch-1.4-r1.apk2024-04-15 23:52 7.7K
[   ]py3-simber-pyc-0.2.6-r3.apk2024-04-15 23:52 16K
[   ]py3-simber-0.2.6-r3.apk2024-04-15 23:52 11K
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-04-15 23:52 79K
[   ]py3-shodan-doc-1.31.0-r1.apk2024-04-15 23:52 6.9K
[   ]py3-shodan-1.31.0-r1.apk2024-04-15 23:52 44K
[   ]py3-sh-pyc-2.0.6-r1.apk2024-04-15 23:52 55K
[   ]py3-sh-2.0.6-r1.apk2024-04-15 23:52 38K
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-04-15 23:52 5.7K
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-04-15 23:52 5.2K
[   ]py3-setuptools-declarative-requirements-pyc-1.3.0-r3.apk2024-04-15 23:52 4.7K
[   ]py3-setuptools-declarative-requirements-1.3.0-r3.apk2024-04-15 23:52 9.5K
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-04-15 23:52 42K
[   ]py3-seqdiag-3.0.0-r5.apk2024-04-15 23:52 2.5M
[   ]py3-scs-pyc-3.2.3-r3.apk2024-04-15 23:52 4.7K
[   ]py3-scs-3.2.3-r3.apk2024-04-15 23:52 120K
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-04-15 23:52 482K
[   ]py3-scrapy-2.11.1-r1.apk2024-04-15 23:52 240K
[   ]py3-scour-pyc-0.38.2-r1.apk2024-04-15 23:52 74K
[   ]py3-scour-0.38.2-r1.apk2024-04-15 23:52 56K
[   ]py3-schema-pyc-0.7.5-r3.apk2024-04-15 23:52 18K
[   ]py3-schema-0.7.5-r3.apk2024-04-15 23:52 17K
[   ]py3-rtree-pyc-1.1.0-r1.apk2024-04-15 23:52 44K
[   ]py3-rtree-1.1.0-r1.apk2024-04-15 23:52 24K
[   ]py3-rst-pyc-0.1-r8.apk2024-04-15 23:52 5.9K
[   ]py3-rst-0.1-r8.apk2024-04-15 23:52 5.5K
[   ]py3-rpio-pyc-0.10.1-r7.apk2024-04-15 23:52 16K
[   ]py3-rpio-0.10.1-r7.apk2024-04-15 23:52 36K
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-04-15 23:52 54K
[   ]py3-rospkg-1.2.9-r5.apk2024-04-15 23:52 29K
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-04-15 23:52 91K
[   ]py3-rosdistro-0.9.0-r3.apk2024-04-15 23:52 47K
[   ]py3-riotctrl-pyc-0.5.0-r3.apk2024-04-15 23:52 10K
[   ]py3-riotctrl-0.5.0-r3.apk2024-04-15 23:52 11K
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-04-15 23:52 40K
[   ]py3-rich-click-1.7.3-r1.apk2024-04-15 23:52 30K
[   ]py3-rfc3987-pyc-1.3.8-r5.apk2024-04-15 23:52 10K
[   ]py3-rfc3987-1.3.8-r5.apk2024-04-15 23:52 8.6K
[   ]py3-rfc-bibtex-pyc-0.3.2-r6.apk2024-04-15 23:52 12K
[   ]py3-rfc-bibtex-0.3.2-r6.apk2024-04-15 23:52 11K
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-04-15 23:52 6.3K
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-04-15 23:52 5.3K
[   ]py3-requests-kerberos-pyc-0.14.0-r4.apk2024-04-15 23:52 11K
[   ]py3-requests-kerberos-0.14.0-r4.apk2024-04-15 23:52 12K
[   ]py3-remind-pyc-0.18.0-r3.apk2024-04-15 23:52 4.1K
[   ]py3-remind-0.18.0-r3.apk2024-04-15 23:52 12K
[   ]py3-redmine-pyc-2.4.0-r3.apk2024-04-15 23:52 51K
[   ]py3-redmine-2.4.0-r3.apk2024-04-15 23:52 34K
[   ]py3-recurring-ical-events-pyc-2.2.1-r1.apk2024-04-15 23:52 16K
[   ]py3-recurring-ical-events-2.2.1-r1.apk2024-04-15 23:52 19K
[   ]py3-recommonmark-pyc-0.7.1-r3.apk2024-04-15 23:52 17K
[   ]py3-recommonmark-0.7.1-r3.apk2024-04-15 23:52 11K
[   ]py3-rapidjson-1.12-r1.apk2024-04-15 23:52 134K
[   ]py3-radon-pyc-6.0.1-r1.apk2024-04-15 23:52 49K
[   ]py3-radon-doc-6.0.1-r1.apk2024-04-15 23:52 4.9K
[   ]py3-radon-6.0.1-r1.apk2024-04-15 23:52 32K
[   ]py3-rabbit-pyc-1.1.0-r7.apk2024-04-15 23:52 15K
[   ]py3-rabbit-1.1.0-r7.apk2024-04-15 23:52 11K
[   ]py3-queuelib-pyc-1.6.2-r4.apk2024-04-15 23:52 24K
[   ]py3-queuelib-1.6.2-r4.apk2024-04-15 23:52 12K
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-04-15 23:52 7.6K
[   ]py3-quebra-frases-0.3.7-r1.apk2024-04-15 23:52 8.6K
[   ]py3-qt.py-pyc-1.3.10-r0.apk2024-04-15 23:52 24K
[   ]py3-qt.py-1.3.10-r0.apk2024-04-15 23:52 31K
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-04-15 23:52 180K
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-04-15 23:52 56K
[   ]py3-qpageview-0.6.2-r1.apk2024-04-15 23:52 98K
[   ]py3-qdldl-0.1.5-r3.apk2024-04-15 23:52 100K
[   ]py3-qasync-0.19.0-r1.apk2024-04-15 23:52 35K
[   ]py3-pyzor-pyc-1.0.0-r10.apk2024-04-15 23:52 53K
[   ]py3-pyzor-1.0.0-r10.apk2024-04-15 23:52 32K
[   ]py3-pyvows-pyc-3.0.0-r4.apk2024-04-15 23:52 49K
[   ]py3-pyvows-doc-3.0.0-r4.apk2024-04-15 23:52 1.8K
[   ]py3-pyvows-3.0.0-r4.apk2024-04-15 23:52 26K
[   ]py3-pyvcd-pyc-0.4.0-r1.apk2024-04-15 23:52 40K
[   ]py3-pyvcd-0.4.0-r1.apk2024-04-15 23:52 23K
[   ]py3-python-stdnum-pyc-1.19-r1.apk2024-04-15 23:52 290K
[   ]py3-python-stdnum-1.19-r1.apk2024-04-15 23:52 736K
[   ]py3-python-logstash-pyc-0.4.8-r3.apk2024-04-15 23:52 8.2K
[   ]py3-python-logstash-doc-0.4.8-r3.apk2024-04-15 23:52 2.0K
[   ]py3-python-logstash-0.4.8-r3.apk2024-04-15 23:52 7.2K
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-04-15 23:52 68K
[   ]py3-python-iptables-1.0.1-r1.apk2024-04-15 23:52 39K
[   ]py3-python-archive-pyc-0.2-r6.apk2024-04-15 23:52 9.1K
[   ]py3-python-archive-0.2-r6.apk2024-04-15 23:52 6.1K
[   ]py3-pytest-subprocess-pyc-1.5.0-r2.apk2024-04-15 23:52 24K
[   ]py3-pytest-subprocess-1.5.0-r2.apk2024-04-15 23:52 18K
[   ]py3-pytest-regtest-pyc-2.1.1-r1.apk2024-04-15 23:52 11K
[   ]py3-pytest-regtest-2.1.1-r1.apk2024-04-15 23:52 9.7K
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-04-15 23:52 21K
[   ]py3-pytest-html-4.1.1-r1.apk2024-04-15 23:52 21K
[   ]py3-pytest-helpers-namespace-pyc-2021.12.29-r3.apk2024-04-15 23:52 5.9K
[   ]py3-pytest-helpers-namespace-2021.12.29-r3.apk2024-04-15 23:52 11K
[   ]py3-pyte-pyc-0.8.2-r1.apk2024-04-15 23:52 39K
[   ]py3-pyte-0.8.2-r1.apk2024-04-15 23:52 27K
[   ]py3-pytaglib-pyc-1.5.0-r3.apk2024-04-15 23:52 2.7K
[   ]py3-pytaglib-1.5.0-r3.apk2024-04-15 23:52 36K
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-04-15 23:52 96K
[   ]py3-pystache-0.6.5-r1.apk2024-04-15 23:52 68K
[   ]py3-pysrt-pyc-1.1.2-r3.apk2024-04-15 23:52 23K
[   ]py3-pysrt-1.1.2-r3.apk2024-04-15 23:52 13K
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-04-15 23:52 63K
[   ]py3-pyspinel-1.0.3-r1.apk2024-04-15 23:52 56K
[   ]py3-pysonic-pyc-1.0.1-r1.apk2024-04-15 23:52 32K
[   ]py3-pysonic-1.0.1-r1.apk2024-04-15 23:52 34K
[   ]py3-pysimplesoap-pyc-1.16.2-r6.apk2024-04-15 23:52 78K
[   ]py3-pysimplesoap-1.16.2-r6.apk2024-04-15 23:52 43K
[   ]py3-pyscreeze-pyc-0.1.29-r2.apk2024-04-15 23:52 14K
[   ]py3-pyscreeze-0.1.29-r2.apk2024-04-15 23:52 12K
[   ]py3-pyroma-pyc-4.2-r0.apk2024-04-15 23:52 26K
[   ]py3-pyroma-4.2-r0.apk2024-04-15 23:52 22K
[   ]py3-pyrebase-pyc-3.0.27-r4.apk2024-04-15 23:52 17K
[   ]py3-pyrebase-3.0.27-r4.apk2024-04-15 23:52 9.0K
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-04-15 23:52 42K
[   ]py3-pyparted-3.13.0-r1.apk2024-04-15 23:52 78K
[   ]py3-pymsteams-pyc-0.2.2-r3.apk2024-04-15 23:52 6.3K
[   ]py3-pymsteams-0.2.2-r3.apk2024-04-15 23:52 7.5K
[   ]py3-pymsgbox-pyc-1.0.9-r4.apk2024-04-15 23:52 9.6K
[   ]py3-pymsgbox-1.0.9-r4.apk2024-04-15 23:52 7.5K
[   ]py3-pymeta3-pyc-0.5.1-r5.apk2024-04-15 23:52 31K
[   ]py3-pymeta3-0.5.1-r5.apk2024-04-15 23:52 15K
[   ]py3-pymata4-pyc-1.15-r3.apk2024-04-15 23:52 31K
[   ]py3-pymata4-1.15-r3.apk2024-04-15 23:52 23K
[   ]py3-pymata-pyc-2.20-r3.apk2024-04-15 23:52 29K
[   ]py3-pymata-2.20-r3.apk2024-04-15 23:52 22K
[   ]py3-pymaging-pyc-0.0.20130908-r9.apk2024-04-15 23:52 32K
[   ]py3-pymaging-png-pyc-0.0.20130727-r9.apk2024-04-15 23:52 52K
[   ]py3-pymaging-png-0.0.20130727-r9.apk2024-04-15 23:52 34K
[   ]py3-pymaging-0.0.20130908-r9.apk2024-04-15 23:52 16K
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-04-15 23:52 8.7K
[   ]py3-pylru-1.2.1-r1.apk2024-04-15 23:52 16K
[   ]py3-pyisbn-pyc-1.3.1-r2.apk2024-04-15 23:52 9.2K
[   ]py3-pyisbn-1.3.1-r2.apk2024-04-15 23:52 8.3K
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-04-15 23:52 9.8K
[   ]py3-pygtail-0.14.0-r3.apk2024-04-15 23:52 15K
[   ]py3-pygpgme-pyc-0.3.1-r8.apk2024-04-15 23:52 4.8K
[   ]py3-pygpgme-0.3.1-r8.apk2024-04-15 23:52 29K
[   ]py3-pygfm-pyc-2.0.0-r1.apk2024-04-15 23:52 13K
[   ]py3-pygfm-2.0.0-r1.apk2024-04-15 23:52 11K
[   ]py3-pycosat-0.6.6-r1.apk2024-04-15 23:52 47K
[   ]py3-pybars3-pyc-0.9.7-r5.apk2024-04-15 23:52 17K
[   ]py3-pybars3-0.9.7-r5.apk2024-04-15 23:52 12K
[   ]py3-pyautogui-pyc-0.9.53-r4.apk2024-04-15 23:52 45K
[   ]py3-pyautogui-0.9.53-r4.apk2024-04-15 23:52 33K
[   ]py3-pyatem-pyc-0.5.0-r3.apk2024-04-15 23:52 92K
[   ]py3-pyatem-0.5.0-r3.apk2024-04-15 23:52 53K
[   ]py3-py-radix-pyc-0.10.0-r9.apk2024-04-15 23:52 10K
[   ]py3-py-radix-0.10.0-r9.apk2024-04-15 23:52 20K
[   ]py3-pure_protobuf-pyc-3.0.1-r2.apk2024-04-15 23:52 37K
[   ]py3-pure_protobuf-3.0.1-r2.apk2024-04-15 23:52 21K
[   ]py3-proxmoxer-pyc-2.0.1-r3.apk2024-04-15 23:52 21K
[   ]py3-proxmoxer-2.0.1-r3.apk2024-04-15 23:52 14K
[   ]py3-protego-pyc-0.3.0-r1.apk2024-04-15 23:52 11K
[   ]py3-protego-0.3.0-r1.apk2024-04-15 23:52 33K
[   ]py3-proplot-pyc-0.9.7-r2.apk2024-04-15 23:52 225K
[   ]py3-proplot-0.9.7-r2.apk2024-04-15 23:52 133K
[   ]py3-progressbar2-pyc-4.2.0-r2.apk2024-04-15 23:52 42K
[   ]py3-progressbar2-4.2.0-r2.apk2024-04-15 23:52 27K
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-04-15 23:52 9.7K
[   ]py3-proglog-0.1.10-r2.apk2024-04-15 23:52 7.1K
[   ]py3-preggy-pyc-1.4.4-r4.apk2024-04-15 23:52 24K
[   ]py3-preggy-doc-1.4.4-r4.apk2024-04-15 23:52 3.8K
[   ]py3-preggy-1.4.4-r4.apk2024-04-15 23:52 16K
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-04-15 23:52 16K
[   ]py3-pprintpp-0.4.0-r1.apk2024-04-15 23:52 14K
[   ]py3-poppler-qt5-21.3.0-r1.apk2024-04-15 23:52 128K
[   ]py3-pockethernet-pyc-0.7.0-r3.apk2024-04-15 23:52 25K
[   ]py3-pockethernet-0.7.0-r3.apk2024-04-15 23:52 14K
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-04-15 23:52 8.3K
[   ]py3-playsound-1.3.0-r1.apk2024-04-15 23:52 6.6K
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-04-15 23:52 4.4K
[   ]py3-pip-system-certs-4.0-r1.apk2024-04-15 23:52 6.7K
[   ]py3-pika-pyc-1.3.2-r1.apk2024-04-15 23:52 246K
[   ]py3-pika-1.3.2-r1.apk2024-04-15 23:51 143K
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-04-15 23:51 34K
[   ]py3-piccata-2.0.3-r1.apk2024-04-15 23:51 20K
[   ]py3-phpserialize-pyc-1.3-r7.apk2024-04-15 23:51 10K
[   ]py3-phpserialize-1.3-r7.apk2024-04-15 23:51 8.4K
[   ]py3-pep8-naming-pyc-0.13.3-r2.apk2024-04-15 23:51 13K
[   ]py3-pep8-naming-0.13.3-r2.apk2024-04-15 23:51 8.3K
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-04-15 23:51 147K
[   ]py3-pelican-4.9.1-r2.apk2024-04-15 23:51 233K
[   ]py3-pebble-pyc-5.0.7-r1.apk2024-04-15 23:51 42K
[   ]py3-pebble-5.0.7-r1.apk2024-04-15 23:51 21K
[   ]py3-pdal-pyc-3.2.3-r4.apk2024-04-15 23:51 11K
[   ]py3-pdal-3.2.3-r4.apk2024-04-15 23:51 176K
[   ]py3-pbkdf2-pyc-1.3-r6.apk2024-04-15 23:51 6.8K
[   ]py3-pbkdf2-1.3-r6.apk2024-04-15 23:51 5.8K
[   ]py3-pathvalidate-pyc-3.2.0-r1.apk2024-04-15 23:51 32K
[   ]py3-pathvalidate-3.2.0-r1.apk2024-04-15 23:51 19K
[   ]py3-pam-pyc-2.0.2-r2.apk2024-04-15 23:51 13K
[   ]py3-pam-2.0.2-r2.apk2024-04-15 23:51 11K
[   ]py3-pacparser-pyc-1.4.3-r1.apk2024-04-15 23:51 3.8K
[   ]py3-pacparser-1.4.3-r1.apk2024-04-15 23:51 396K
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-04-15 23:51 6.5K
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-04-15 23:51 9.8K
[   ]py3-ovos-phal-plugin-system-pyc-0.0.4-r1.apk2024-04-15 23:51 8.8K
[   ]py3-ovos-phal-plugin-system-0.0.4-r1.apk2024-04-15 23:51 10K
[   ]py3-ovos-phal-plugin-oauth-pyc-0.0.2-r1.apk2024-04-15 23:51 7.9K
[   ]py3-ovos-phal-plugin-oauth-0.0.2-r1.apk2024-04-15 23:51 7.4K
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.1.0-r1.apk2024-04-15 23:51 8.6K
[   ]py3-ovos-phal-plugin-network-manager-1.1.0-r1.apk2024-04-15 23:51 11K
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-04-15 23:51 4.1K
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-04-15 23:51 4.3K
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.0.3-r1.apk2024-04-15 23:51 4.8K
[   ]py3-ovos-phal-plugin-connectivity-events-0.0.3-r1.apk2024-04-15 23:51 4.7K
[   ]py3-ovos-ocp-rss-plugin-pyc-0.0.2-r1.apk2024-04-15 23:51 3.5K
[   ]py3-ovos-ocp-rss-plugin-0.0.2-r1.apk2024-04-15 23:51 8.1K
[   ]py3-ovos-ocp-news-plugin-pyc-0.0.3-r1.apk2024-04-15 23:51 8.7K
[   ]py3-ovos-ocp-news-plugin-0.0.3-r1.apk2024-04-15 23:51 11K
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.0-r1.apk2024-04-15 23:51 103K
[   ]py3-ovos-ocp-files-plugin-0.13.0-r1.apk2024-04-15 23:51 47K
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.0.0-r1.apk2024-04-15 23:51 4.5K
[   ]py3-ovos-microphone-plugin-alsa-0.0.0-r1.apk2024-04-15 23:51 8.4K
[   ]py3-osqp-pyc-0.6.2-r5.apk2024-04-15 23:51 76K
[   ]py3-osqp-dev-0.6.2-r5.apk2024-04-15 23:51 49K
[   ]py3-osqp-0.6.2-r5.apk2024-04-15 23:51 108K
[   ]py3-orderedmultidict-pyc-1.0.1-r6.apk2024-04-15 23:51 17K
[   ]py3-orderedmultidict-1.0.1-r6.apk2024-04-15 23:51 10K
[   ]py3-optuna-pyc-3.6.1-r1.apk2024-04-15 23:51 572K
[   ]py3-optuna-3.6.1-r1.apk2024-04-15 23:51 292K
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-04-15 23:51 10K
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-04-15 23:51 8.0K
[   ]py3-opendht-3.1.7-r2.apk2024-04-15 23:51 148K
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-04-15 23:51 11K
[   ]py3-openapi-codec-1.3.2-r9.apk2024-04-15 23:51 7.4K
[   ]py3-onelogin-pyc-3.1.6-r1.apk2024-04-15 23:51 900K
[   ]py3-onelogin-3.1.6-r1.apk2024-04-15 23:51 394K
[   ]py3-nwdiag-pyc-3.0.0-r2.apk2024-04-15 23:51 78K
[   ]py3-nwdiag-3.0.0-r2.apk2024-04-15 23:51 4.9M
[   ]py3-ntplib-pyc-0.4.0-r4.apk2024-04-15 23:51 8.4K
[   ]py3-ntplib-0.4.0-r4.apk2024-04-15 23:51 6.8K
[   ]py3-notifymail-pyc-1.1-r7.apk2024-04-15 23:51 5.5K
[   ]py3-notifymail-1.1-r7.apk2024-04-15 23:51 6.2K
[   ]py3-nose-timer-pyc-1.0.1-r5.apk2024-04-15 23:51 9.7K
[   ]py3-nose-timer-1.0.1-r5.apk2024-04-15 23:51 8.1K
[   ]py3-nmap-pyc-0.7.1-r3.apk2024-04-15 23:51 25K
[   ]py3-nmap-0.7.1-r3.apk2024-04-15 23:51 20K
[   ]py3-netmiko-pyc-4.3.0-r1.apk2024-04-15 23:51 321K
[   ]py3-netmiko-4.3.0-r1.apk2024-04-15 23:51 164K
[   ]py3-ncclient-pyc-0.6.13-r4.apk2024-04-15 23:51 106K
[   ]py3-ncclient-0.6.13-r4.apk2024-04-15 23:51 62K
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-04-15 23:51 9.6K
[   ]py3-natpmp-1.3.2-r1.apk2024-04-15 23:51 9.2K
[   ]py3-nanoid-pyc-2.0.0-r2.apk2024-04-15 23:51 3.6K
[   ]py3-nanoid-2.0.0-r2.apk2024-04-15 23:51 4.6K
[   ]py3-msldap-pyc-0.5.10-r1.apk2024-04-15 23:51 312K
[   ]py3-msldap-0.5.10-r1.apk2024-04-15 23:51 137K
[   ]py3-mpd2-pyc-3.1.1-r1.apk2024-04-15 23:51 57K
[   ]py3-mpd2-3.1.1-r1.apk2024-04-15 23:51 30K
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-04-15 23:51 8.0K
[   ]py3-more-properties-1.1.1-r3.apk2024-04-15 23:51 7.2K
[   ]py3-mopidy-tidal-pyc-0.3.2-r5.apk2024-04-15 23:51 35K
[   ]py3-mopidy-tidal-0.3.2-r5.apk2024-04-15 23:51 20K
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-04-15 23:51 74K
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-04-15 23:51 46K
[   ]py3-mopidy-local-pyc-3.2.1-r3.apk2024-04-15 23:51 34K
[   ]py3-mopidy-local-3.2.1-r3.apk2024-04-15 23:51 22K
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r3.apk2024-04-15 23:51 36K
[   ]py3-mopidy-jellyfin-1.0.4-r3.apk2024-04-15 23:51 20K
[   ]py3-modbus-tk-pyc-1.1.1-r3.apk2024-04-15 23:51 48K
[   ]py3-modbus-tk-1.1.1-r3.apk2024-04-15 23:51 24K
[   ]py3-mistletoe-pyc-1.2.1-r1.apk2024-04-15 23:51 91K
[   ]py3-mistletoe-1.2.1-r1.apk2024-04-15 23:51 42K
[   ]py3-minio-pyc-7.2.0-r1.apk2024-04-15 23:51 137K
[   ]py3-minio-7.2.0-r1.apk2024-04-15 23:51 68K
[   ]py3-minikerberos-pyc-0.4.4-r1.apk2024-04-15 23:51 263K
[   ]py3-minikerberos-0.4.4-r1.apk2024-04-15 23:51 128K
[   ]py3-minidump-pyc-0.0.23-r1.apk2024-04-15 23:51 126K
[   ]py3-minidump-0.0.23-r1.apk2024-04-15 23:51 63K
[   ]py3-minidb-pyc-2.0.7-r3.apk2024-04-15 23:51 23K
[   ]py3-minidb-2.0.7-r3.apk2024-04-15 23:51 9.6K
[   ]py3-milc-pyc-1.8.0-r1.apk2024-04-15 23:51 37K
[   ]py3-milc-1.8.0-r1.apk2024-04-15 23:51 25K
[   ]py3-migen-pyc-0.9.2-r2.apk2024-04-15 23:51 295K
[   ]py3-migen-0.9.2-r2.apk2024-04-15 23:51 142K
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-04-15 23:51 4.2K
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-04-15 23:51 5.0K
[   ]py3-markdown2-pyc-2.4.13-r1.apk2024-04-15 23:51 62K
[   ]py3-markdown2-2.4.13-r1.apk2024-04-15 23:51 41K
[   ]py3-marisa-trie-1.1.0-r0.apk2024-04-15 23:51 138K
[   ]py3-manuel-pyc-1.12.4-r2.apk2024-04-15 23:51 26K
[   ]py3-manuel-1.12.4-r2.apk2024-04-15 23:51 34K
[   ]py3-mando-pyc-0.7.1-r2.apk2024-04-15 23:51 36K
[   ]py3-mando-doc-0.7.1-r2.apk2024-04-15 23:51 4.0K
[   ]py3-mando-0.7.1-r2.apk2024-04-15 23:51 20K
[   ]py3-m2crypto-pyc-0.41.0-r1.apk2024-04-15 23:51 122K
[   ]py3-m2crypto-0.41.0-r1.apk2024-04-15 23:51 194K
[   ]py3-lzo-pyc-1.16-r1.apk2024-04-15 23:51 1.7K
[   ]py3-lzo-1.16-r1.apk2024-04-15 23:51 17K
[   ]py3-ly-pyc-0.9.8-r1.apk2024-04-15 23:51 355K
[   ]py3-ly-doc-0.9.8-r1.apk2024-04-15 23:51 7.8K
[   ]py3-ly-0.9.8-r1.apk2024-04-15 23:51 187K
[   ]py3-lunr-pyc-0.6.2-r3.apk2024-04-15 23:51 50K
[   ]py3-lunr-0.6.2-r3.apk2024-04-15 23:51 30K
[   ]py3-luhn-pyc-0.2.0-r8.apk2024-04-15 23:51 2.4K
[   ]py3-luhn-0.2.0-r8.apk2024-04-15 23:51 2.7K
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-04-15 23:51 107K
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-04-15 23:51 69K
[   ]py3-lsp-mypy-pyc-0.6.8-r1.apk2024-04-15 23:51 11K
[   ]py3-lsp-mypy-0.6.8-r1.apk2024-04-15 23:51 11K
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-04-15 23:51 6.1K
[   ]py3-lsp-black-2.0.0-r1.apk2024-04-15 23:51 7.2K
[   ]py3-log-symbols-pyc-0.0.14-r4.apk2024-04-15 23:51 2.8K
[   ]py3-log-symbols-0.0.14-r4.apk2024-04-15 23:51 3.1K
[   ]py3-litex-hub-valentyusb-2023.12-r4.apk2024-04-15 23:51 111K
[   ]py3-litex-hub-pythondata-software-picolibc-2023.12-r4.apk2024-04-15 23:51 4.7M
[   ]py3-litex-hub-pythondata-software-compiler_rt-2023.12-r4.apk2024-04-15 23:51 2.2M
[   ]py3-litex-hub-pythondata-misc-tapcfg-2023.12-r4.apk2024-04-15 23:51 49K
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2023.12-r4.apk2024-04-15 23:51 2.4M
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2023.12-r4.apk2024-04-15 23:51 348K
[   ]py3-litex-hub-pythondata-cpu-serv-2023.12-r4.apk2024-04-15 23:51 65K
[   ]py3-litex-hub-pythondata-cpu-rocket-2023.12-r4.apk2024-04-15 23:51 11M
[   ]py3-litex-hub-pythondata-cpu-picorv32-2023.12-r4.apk2024-04-15 23:51 228K
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2023.12-r4.apk2024-04-15 23:51 7.3K
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2023.12-r4.apk2024-04-15 23:51 179K
[   ]py3-litex-hub-pythondata-cpu-minerva-2023.12-r4.apk2024-04-15 23:51 45K
[   ]py3-litex-hub-pythondata-cpu-microwatt-2023.12-r4.apk2024-04-15 23:51 19M
[   ]py3-litex-hub-pythondata-cpu-marocchino-2023.12-r4.apk2024-04-15 23:51 208K
[   ]py3-litex-hub-pythondata-cpu-lm32-2023.12-r4.apk2024-04-15 23:51 108K
[   ]py3-litex-hub-pythondata-cpu-ibex-2023.12-r4.apk2024-04-15 23:51 1.8M
[   ]py3-litex-hub-pythondata-cpu-cva6-2023.12-r4.apk2024-04-15 23:51 10M
[   ]py3-litex-hub-pythondata-cpu-cva5-2023.12-r4.apk2024-04-15 23:51 500K
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2023.12-r4.apk2024-04-15 23:51 934K
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2023.12-r4.apk2024-04-15 23:51 1.9M
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2023.12-r4.apk2024-04-15 23:51 5.6M
[   ]py3-litex-hub-modules-pyc-2023.12-r4.apk2024-04-15 23:51 1.1M
[   ]py3-litex-hub-modules-2023.12-r4.apk2024-04-15 23:51 1.4K
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-04-15 23:51 23K
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-04-15 23:51 21K
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-04-15 23:51 24K
[   ]py3-librtmp-0.3.0-r6.apk2024-04-15 23:51 35K
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-04-15 23:51 30K
[   ]py3-libnacl-2.1.0-r1.apk2024-04-15 23:51 20K
[   ]py3-liblarch-pyc-3.2.0-r4.apk2024-04-15 23:51 50K
[   ]py3-liblarch-3.2.0-r4.apk2024-04-15 23:51 27K
[   ]py3-libiio-0.25-r1.apk2024-04-15 23:51 12K
[   ]py3-libguestfs-1.52.0-r1.apk2024-04-15 23:51 186K
[   ]py3-libacl-0.7.0-r1.apk2024-04-15 23:51 17K
[   ]py3-lib_users-pyc-0.15-r3.apk2024-04-15 23:51 9.3K
[   ]py3-lib_users-0.15-r3.apk2024-04-15 23:51 8.0K
[   ]py3-latex2mathml-pyc-3.77.0-r1.apk2024-04-15 23:51 35K
[   ]py3-latex2mathml-3.77.0-r1.apk2024-04-15 23:51 72K
[   ]py3-language-data-pyc-1.1-r1.apk2024-04-15 23:51 2.7M
[   ]py3-language-data-1.1-r1.apk2024-04-15 23:51 4.5M
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-04-15 23:51 109K
[   ]py3-langcodes-3.3.0-r2.apk2024-04-15 23:51 173K
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-04-15 23:51 9.3K
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-04-15 23:51 8.1K
[   ]py3-kazoo-pyc-0_git20211202-r3.apk2024-04-15 23:51 244K
[   ]py3-kazoo-0_git20211202-r3.apk2024-04-15 23:51 119K
[   ]py3-junit-xml-pyc-1.9-r2.apk2024-04-15 23:51 9.1K
[   ]py3-junit-xml-1.9-r2.apk2024-04-15 23:51 7.2K
[   ]py3-jsonschema417-pyc-4.17.3-r1.apk2024-04-15 23:51 125K
[   ]py3-jsonschema417-4.17.3-r1.apk2024-04-15 23:51 73K
[   ]py3-itunespy-pyc-1.6-r3.apk2024-04-15 23:51 15K
[   ]py3-itunespy-1.6-r3.apk2024-04-15 23:51 8.7K
[   ]py3-itemadapter-pyc-0.8.0-r2.apk2024-04-15 23:51 12K
[   ]py3-itemadapter-0.8.0-r2.apk2024-04-15 23:51 10K
[   ]py3-invoke-pyc-2.2.0-r2.apk2024-04-15 23:51 231K
[   ]py3-invoke-2.2.0-r2.apk2024-04-15 23:51 147K
[   ]py3-intervals-pyc-0.9.2-r4.apk2024-04-15 23:51 15K
[   ]py3-intervals-0.9.2-r4.apk2024-04-15 23:51 8.2K
[   ]py3-iniparse-pyc-0.5-r6.apk2024-04-15 23:51 24K
[   ]py3-iniparse-doc-0.5-r6.apk2024-04-15 23:51 10K
[   ]py3-iniparse-0.5-r6.apk2024-04-15 23:51 13K
[   ]py3-infinity-pyc-1.5-r5.apk2024-04-15 23:51 3.5K
[   ]py3-infinity-1.5-r5.apk2024-04-15 23:51 3.2K
[   ]py3-incoming-pyc-0.3.1-r7.apk2024-04-15 23:51 20K
[   ]py3-incoming-0.3.1-r7.apk2024-04-15 23:51 12K
[   ]py3-imdbpy-pyc-2021.4.18-r4.apk2024-04-15 23:51 242K
[   ]py3-imdbpy-2021.4.18-r4.apk2024-04-15 23:51 219K
[   ]py3-hurry.filesize-pyc-0.9-r7.apk2024-04-15 23:51 3.0K
[   ]py3-hurry.filesize-0.9-r7.apk2024-04-15 23:51 4.1K
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-04-15 23:51 22K
[   ]py3-html5-parser-0.4.12-r1.apk2024-04-15 23:51 173K
[   ]py3-hiplot-pyc-0.1.33-r1.apk2024-04-15 23:51 54K
[   ]py3-hiplot-0.1.33-r1.apk2024-04-15 23:51 105K
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-04-15 23:51 107K
[   ]py3-hg-git-1.1.1-r1.apk2024-04-15 23:51 70K
[   ]py3-hfst-3.16.0-r2.apk2024-04-15 23:51 371K
[   ]py3-helper-pyc-2.5.0-r4.apk2024-04-15 23:51 28K
[   ]py3-helper-2.5.0-r4.apk2024-04-15 23:51 18K
[   ]py3-halo-pyc-0.0.31-r4.apk2024-04-15 23:51 14K
[   ]py3-halo-0.0.31-r4.apk2024-04-15 23:51 10K
[   ]py3-grequests-pyc-0.7.0-r1.apk2024-04-15 23:51 5.6K
[   ]py3-grequests-0.7.0-r1.apk2024-04-15 23:51 5.0K
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-04-15 23:51 10K
[   ]py3-google-trans-new-1.1.9-r2.apk2024-04-15 23:51 9.0K
[   ]py3-gls-pyc-1.3.1-r1.apk2024-04-15 23:51 84K
[   ]py3-gls-1.3.1-r1.apk2024-04-15 23:51 47K
[   ]py3-github3-pyc-4.0.1-r1.apk2024-04-15 23:51 227K
[   ]py3-github3-4.0.1-r1.apk2024-04-15 23:51 128K
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-04-15 23:51 13K
[   ]py3-git-versioner-7.1-r1.apk2024-04-15 23:51 12K
[   ]py3-gevent-websocket-pyc-0.10.1-r7.apk2024-04-15 23:51 30K
[   ]py3-gevent-websocket-0.10.1-r7.apk2024-04-15 23:51 18K
[   ]py3-geoip-1.3.2-r3.apk2024-04-15 23:51 20K
[   ]py3-funcparserlib-pyc-1.0.1-r4.apk2024-04-15 23:51 18K
[   ]py3-funcparserlib-1.0.1-r4.apk2024-04-15 23:51 16K
[   ]py3-freetype-py-2.4.0-r1.apk2024-04-15 23:51 154K
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-04-15 23:51 89K
[   ]py3-fpdf-1.7.2-r5.apk2024-04-15 23:51 39K
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-04-15 23:51 9.4K
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-04-15 23:51 8.7K
[   ]py3-flask-themer-pyc-2.0.0-r1.apk2024-04-15 23:51 6.7K
[   ]py3-flask-themer-2.0.0-r1.apk2024-04-15 23:51 6.8K
[   ]py3-flask-security-pyc-5.4.3-r1.apk2024-04-15 23:51 210K
[   ]py3-flask-security-5.4.3-r1.apk2024-04-15 23:51 267K
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-04-15 23:51 59K
[   ]py3-flask-restless-0.17.0-r9.apk2024-04-15 23:51 40K
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-04-15 23:51 20K
[   ]py3-flask-restaction-0.25.3-r8.apk2024-04-15 23:51 115K
[   ]py3-flask-qrcode-pyc-3.1.0-r4.apk2024-04-15 23:51 5.9K
[   ]py3-flask-qrcode-3.1.0-r4.apk2024-04-15 23:51 18K
[   ]py3-flask-peewee-pyc-3.0.4-r6.apk2024-04-15 23:51 95K
[   ]py3-flask-peewee-3.0.4-r6.apk2024-04-15 23:51 171K
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-04-15 23:51 11K
[   ]py3-flask-paginate-0.8.1-r6.apk2024-04-15 23:51 8.0K
[   ]py3-flask-migrate-pyc-4.0.5-r1.apk2024-04-15 23:51 18K
[   ]py3-flask-migrate-4.0.5-r1.apk2024-04-15 23:51 13K
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-04-15 23:51 3.6K
[   ]py3-flask-markdown-0.3-r8.apk2024-04-15 23:51 5.4K
[   ]py3-flask-mailman-pyc-1.0.0-r1.apk2024-04-15 23:51 25K
[   ]py3-flask-mailman-1.0.0-r1.apk2024-04-15 23:51 16K
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-04-15 23:51 7.7K
[   ]py3-flask-loopback-1.4.7-r7.apk2024-04-15 23:51 5.3K
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-04-15 23:51 3.2K
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-04-15 23:51 3.8K
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-04-15 23:51 10K
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-04-15 23:51 7.7K
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-04-15 23:51 2.2K
[   ]py3-flask-headers-1.0-r9.apk2024-04-15 23:51 2.9K
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-04-15 23:51 2.6K
[   ]py3-flask-gzip-0.2-r8.apk2024-04-15 23:51 2.9K
[   ]py3-flask-flatpages-pyc-0.8.2-r2.apk2024-04-15 23:51 13K
[   ]py3-flask-flatpages-0.8.2-r2.apk2024-04-15 23:51 11K
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-04-15 23:51 6.0K
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-04-15 23:51 85K
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-04-15 23:51 3.0K
[   ]py3-flask-components-0.1.1-r9.apk2024-04-15 23:51 3.7K
[   ]py3-flask-cdn-pyc-1.5.3-r7.apk2024-04-15 23:51 3.8K
[   ]py3-flask-cdn-1.5.3-r7.apk2024-04-15 23:51 3.7K
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-04-15 23:51 18K
[   ]py3-flask-cache-0.13.1-r9.apk2024-04-15 23:51 13K
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r8.apk2024-04-15 23:51 11K
[   ]py3-flask-bootstrap-3.3.7.1-r8.apk2024-04-15 23:51 450K
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-04-15 23:51 5.5K
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-04-15 23:51 6.9K
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-04-15 23:51 3.8K
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-04-15 23:51 5.1K
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-04-15 23:51 4.7K
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-04-15 23:51 4.8K
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-04-15 23:51 358K
[   ]py3-flask-admin-1.6.1-r3.apk2024-04-15 23:51 6.5M
[   ]py3-flask-accept-pyc-0.0.6-r1.apk2024-04-15 23:51 3.4K
[   ]py3-flask-accept-0.0.6-r1.apk2024-04-15 23:51 4.7K
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-04-15 23:51 2.0K
[   ]py3-flake8-todo-0.7-r7.apk2024-04-15 23:51 3.3K
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-04-15 23:51 3.4K
[   ]py3-flake8-snippets-0.2-r8.apk2024-04-15 23:51 5.1K
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-04-15 23:51 4.2K
[   ]py3-flake8-print-5.0.0-r5.apk2024-04-15 23:51 6.5K
[   ]py3-flake8-polyfill-pyc-1.0.2-r4.apk2024-04-15 23:51 5.5K
[   ]py3-flake8-polyfill-1.0.2-r4.apk2024-04-15 23:51 5.7K
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-04-15 23:51 5.1K
[   ]py3-flake8-isort-6.1.1-r1.apk2024-04-15 23:51 18K
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-04-15 23:51 17K
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-04-15 23:51 15K
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-04-15 23:51 5.7K
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-04-15 23:51 6.0K
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-04-15 23:51 3.1K
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-04-15 23:51 18K
[   ]py3-flake8-builtins-pyc-2.1.0-r3.apk2024-04-15 23:51 6.7K
[   ]py3-flake8-builtins-2.1.0-r3.apk2024-04-15 23:51 14K
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-04-15 23:51 2.3K
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-04-15 23:51 4.9K
[   ]py3-firmata-pyc-1.0.3-r9.apk2024-04-15 23:51 21K
[   ]py3-firmata-1.0.3-r9.apk2024-04-15 23:51 13K
[   ]py3-ffmpeg-pyc-0.2.0-r3.apk2024-04-15 23:51 33K
[   ]py3-ffmpeg-0.2.0-r3.apk2024-04-15 23:51 19K
[   ]py3-feedgenerator-pyc-2.1.0-r1.apk2024-04-15 23:51 27K
[   ]py3-feedgenerator-2.1.0-r1.apk2024-04-15 23:51 16K
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-04-15 23:51 61K
[   ]py3-feedgen-1.0.0-r1.apk2024-04-15 23:51 40K
[   ]py3-fastdiff-pyc-0.3.0-r4.apk2024-04-15 23:51 4.0K
[   ]py3-fastdiff-0.3.0-r4.apk2024-04-15 23:51 37K
[   ]py3-evohome-client-pyc-0.3.7-r3.apk2024-04-15 23:51 27K
[   ]py3-evohome-client-0.3.7-r3.apk2024-04-15 23:51 14K
[   ]py3-euclid3-pyc-0.01-r7.apk2024-04-15 23:51 32K
[   ]py3-euclid3-0.01-r7.apk2024-04-15 23:51 14K
[   ]py3-eradicate-pyc-2.3.0-r1.apk2024-04-15 23:51 8.1K
[   ]py3-eradicate-doc-2.3.0-r1.apk2024-04-15 23:51 2.3K
[   ]py3-eradicate-2.3.0-r1.apk2024-04-15 23:51 7.3K
[   ]py3-empy-pyc-3.3.4-r6.apk2024-04-15 23:51 58K
[   ]py3-empy-3.3.4-r6.apk2024-04-15 23:51 30K
[   ]py3-editdistance-s-pyc-1.0.0-r5.apk2024-04-15 23:51 1.8K
[   ]py3-editdistance-s-1.0.0-r5.apk2024-04-15 23:51 14K
[   ]py3-ecos-pyc-2.0.11-r3.apk2024-04-15 23:51 3.4K
[   ]py3-ecos-2.0.11-r3.apk2024-04-15 23:51 15K
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-04-15 23:51 6.0K
[   ]py3-dweepy-0.3.0-r7.apk2024-04-15 23:51 8.8K
[   ]py3-duniterpy-1.1.1-r3.apk2024-04-15 23:51 221K
[   ]py3-drf-yasg-pyc-1.21.7-r1.apk2024-04-15 23:51 97K
[   ]py3-drf-yasg-1.21.7-r1.apk2024-04-15 23:51 4.0M
[   ]py3-dpath-pyc-2.1.6-r1.apk2024-04-15 23:51 18K
[   ]py3-dpath-2.1.6-r1.apk2024-04-15 23:51 16K
[   ]py3-downloader-cli-pyc-0.3.4-r1.apk2024-04-15 23:51 14K
[   ]py3-downloader-cli-0.3.4-r1.apk2024-04-15 23:51 11K
[   ]py3-dotty-dict-pyc-1.3.1-r3.apk2024-04-15 23:51 8.4K
[   ]py3-dotty-dict-1.3.1-r3.apk2024-04-15 23:51 7.2K
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-04-15 23:51 33K
[   ]py3-dominate-2.9.1-r1.apk2024-04-15 23:51 24K
[   ]py3-doit-pyc-0.36.0-r4.apk2024-04-15 23:51 133K
[   ]py3-doit-0.36.0-r4.apk2024-04-15 23:51 75K
[   ]py3-dogpile.cache-pyc-1.2.2-r1.apk2024-04-15 23:51 77K
[   ]py3-dogpile.cache-1.2.2-r1.apk2024-04-15 23:51 46K
[   ]py3-dnslib-pyc-0.9.24-r1.apk2024-04-15 23:51 108K
[   ]py3-dnslib-0.9.24-r1.apk2024-04-15 23:51 51K
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-04-15 23:51 4.7K
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-04-15 23:51 3.8K
[   ]py3-django-suit-pyc-0.2.28-r7.apk2024-04-15 23:51 32K
[   ]py3-django-suit-0.2.28-r7.apk2024-04-15 23:51 354K
[   ]py3-distorm3-pyc-3.5.2-r5.apk2024-04-15 23:51 48K
[   ]py3-distorm3-3.5.2-r5.apk2024-04-15 23:51 46K
[   ]py3-diskcache-pyc-5.6.3-r1.apk2024-04-15 23:51 67K
[   ]py3-diskcache-5.6.3-r1.apk2024-04-15 23:51 41K
[   ]py3-discogs-client-pyc-2.7-r2.apk2024-04-15 23:51 32K
[   ]py3-discogs-client-2.7-r2.apk2024-04-15 23:51 16K
[   ]py3-discid-pyc-1.2.0-r5.apk2024-04-15 23:51 13K
[   ]py3-discid-1.2.0-r5.apk2024-04-15 23:51 9.4K
[   ]py3-dict2xml-pyc-1.7.5-r2.apk2024-04-15 23:51 7.6K
[   ]py3-dict2xml-1.7.5-r2.apk2024-04-15 23:51 8.8K
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-04-15 23:51 37K
[   ]py3-dexml-0.5.1-r9.apk2024-04-15 23:51 22K
[   ]py3-dep-logic-pyc-0.2.0-r1.apk2024-04-15 23:51 38K
[   ]py3-dep-logic-0.2.0-r1.apk2024-04-15 23:51 22K
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-04-15 23:51 4.0K
[   ]py3-daterangestr-0.0.3-r8.apk2024-04-15 23:51 4.1K
[   ]py3-dateparser-pyc-1.2.0-r1.apk2024-04-15 23:51 341K
[   ]py3-dateparser-1.2.0-r1.apk2024-04-15 23:51 204K
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-04-15 23:51 14K
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-04-15 23:51 11K
[   ]py3-cvxpy-pyc-1.2.1-r4.apk2024-04-15 23:51 936K
[   ]py3-cvxpy-1.2.1-r4.apk2024-04-15 23:51 662K
[   ]py3-cucumber-tag-expressions-pyc-6.0.0-r1.apk2024-04-15 23:51 9.8K
[   ]py3-cucumber-tag-expressions-6.0.0-r1.apk2024-04-15 23:51 8.2K
[   ]py3-cstruct-pyc-5.3-r1.apk2024-04-15 23:51 36K
[   ]py3-cstruct-5.3-r1.apk2024-04-15 23:51 22K
[   ]py3-createrepo_c-pyc-1.0.2-r1.apk2024-04-15 23:51 7.4K
[   ]py3-createrepo_c-1.0.2-r1.apk2024-04-15 23:51 40K
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-04-15 23:51 4.4K
[   ]py3-crc16-0.1.1-r10.apk2024-04-15 23:51 12K
[   ]py3-coreapi-pyc-2.3.3-r8.apk2024-04-15 23:51 43K
[   ]py3-coreapi-2.3.3-r8.apk2024-04-15 23:51 21K
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-04-15 23:51 47K
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-04-15 23:51 3.5K
[   ]py3-cookiecutter-2.6.0-r1.apk2024-04-15 23:51 35K
[   ]py3-confluent-kafka-pyc-1.8.2-r4.apk2024-04-15 23:51 77K
[   ]py3-confluent-kafka-1.8.2-r4.apk2024-04-15 23:51 91K
[   ]py3-compdb-pyc-0.2.0-r7.apk2024-04-15 23:51 39K
[   ]py3-compdb-doc-0.2.0-r7.apk2024-04-15 23:51 2.8K
[   ]py3-compdb-0.2.0-r7.apk2024-04-15 23:51 22K
[   ]py3-columnize-pyc-0.3.11-r3.apk2024-04-15 23:51 7.3K
[   ]py3-columnize-0.3.11-r3.apk2024-04-15 23:51 7.3K
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-04-15 23:51 9.8K
[   ]py3-colorthief-0.2.1-r1.apk2024-04-15 23:51 7.1K
[   ]py3-colored-pyc-1.4.4-r2.apk2024-04-15 23:51 17K
[   ]py3-colored-1.4.4-r2.apk2024-04-15 23:51 13K
[   ]py3-colander-pyc-2.0-r1.apk2024-04-15 23:51 42K
[   ]py3-colander-2.0-r1.apk2024-04-15 23:51 60K
[   ]py3-cobs-pyc-1.2.0-r3.apk2024-04-15 23:51 12K
[   ]py3-cobs-1.2.0-r3.apk2024-04-15 23:51 20K
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-04-15 23:51 222K
[   ]py3-cmd2-2.4.3-r2.apk2024-04-15 23:51 139K
[   ]py3-click-threading-pyc-0.5.0-r4.apk2024-04-15 23:51 7.6K
[   ]py3-click-threading-0.5.0-r4.apk2024-04-15 23:51 5.2K
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-04-15 23:51 4.3K
[   ]py3-click-default-group-1.2.4-r1.apk2024-04-15 23:51 4.9K
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-04-15 23:51 14K
[   ]py3-click-completion-0.5.2-r1.apk2024-04-15 23:51 11K
[   ]py3-class-doc-pyc-1.25-r1.apk2024-04-15 23:51 8.5K
[   ]py3-class-doc-1.25-r1.apk2024-04-15 23:51 5.8K
[   ]py3-cjkwrap-pyc-2.2-r3.apk2024-04-15 23:51 5.0K
[   ]py3-cjkwrap-2.2-r3.apk2024-04-15 23:51 4.2K
[   ]py3-ciso8601-2.3.1-r1.apk2024-04-15 23:51 16K
[   ]py3-cdio-pyc-2.1.1-r4.apk2024-04-15 23:51 43K
[   ]py3-cdio-2.1.1-r4.apk2024-04-15 23:51 90K
[   ]py3-cchardet-pyc-2.1.7-r4.apk2024-04-15 23:51 2.8K
[   ]py3-cchardet-2.1.7-r4.apk2024-04-15 23:51 108K
[   ]py3-catkin-pkg-pyc-0.5.2-r3.apk2024-04-15 23:51 103K
[   ]py3-catkin-pkg-0.5.2-r3.apk2024-04-15 23:51 55K
[   ]py3-cassandra-driver-pyc-3.29.1-r0.apk2024-04-15 23:51 561K
[   ]py3-cassandra-driver-3.29.1-r0.apk2024-04-15 23:51 288K
[   ]py3-caldav-pyc-1.3.9-r1.apk2024-04-15 23:51 80K
[   ]py3-caldav-1.3.9-r1.apk2024-04-15 23:51 64K
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-04-15 23:51 53K
[   ]py3-c3d-0.5.2-r1.apk2024-04-15 23:51 32K
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-04-15 23:51 16K
[   ]py3-businesstime-0.3.0-r9.apk2024-04-15 23:51 10K
[   ]py3-bson-pyc-0.5.10-r5.apk2024-04-15 23:51 18K
[   ]py3-bson-0.5.10-r5.apk2024-04-15 23:51 11K
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-04-15 23:51 4.0K
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-04-15 23:51 3.8K
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-04-15 23:51 2.9K
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-04-15 23:51 4.4K
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-04-15 23:51 5.0K
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-04-15 23:51 4.4K
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-04-15 23:51 5.4K
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-04-15 23:51 4.6K
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-04-15 23:51 7.5K
[   ]py3-bottle-session-1.0-r6.apk2024-04-15 23:51 9.9K
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-04-15 23:51 4.9K
[   ]py3-bottle-rest-0.6.0-r1.apk2024-04-15 23:51 5.9K
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-04-15 23:51 2.3K
[   ]py3-bottle-request-0.2.0-r9.apk2024-04-15 23:51 3.0K
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-04-15 23:51 3.5K
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-04-15 23:51 3.7K
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-04-15 23:51 2.9K
[   ]py3-bottle-redis-0.2.3-r6.apk2024-04-15 23:51 3.0K
[   ]py3-bottle-pgsql-0.2-r5.apk2024-04-15 23:51 4.0K
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-04-15 23:51 5.0K
[   ]py3-bottle-api-0.0.4-r7.apk2024-04-15 23:51 4.6K
[   ]py3-bookkeeper-pyc-4.16.2-r1.apk2024-04-15 23:51 67K
[   ]py3-bookkeeper-4.16.2-r1.apk2024-04-15 23:51 43K
[   ]py3-blockdiag-tests-3.0.0-r4.apk2024-04-15 23:51 2.5M
[   ]py3-blockdiag-pyc-3.0.0-r4.apk2024-04-15 23:51 150K
[   ]py3-blockdiag-3.0.0-r4.apk2024-04-15 23:51 70K
[   ]py3-blockchain-pyc-1.4.4-r6.apk2024-04-15 23:51 18K
[   ]py3-blockchain-1.4.4-r6.apk2024-04-15 23:51 10K
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-04-15 23:51 13K
[   ]py3-bitstruct-8.19.0-r1.apk2024-04-15 23:51 35K
[   ]py3-bite-parser-pyc-0.2.4-r1.apk2024-04-15 23:51 23K
[   ]py3-bite-parser-0.2.4-r1.apk2024-04-15 23:51 14K
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-04-15 23:51 29K
[   ]py3-bidict-0.23.1-r1.apk2024-04-15 23:51 27K
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-04-15 23:51 10K
[   ]py3-bencode-4.0.0-r1.apk2024-04-15 23:51 17K
[   ]py3-base58-2.1.1-r1.apk2024-04-15 23:51 10K
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-04-15 23:51 4.0K
[   ]py3-barcodenumber-0.2.1-r10.apk2024-04-15 23:51 16K
[   ]py3-bandwidth-sdk-pyc-3.1.0-r7.apk2024-04-15 23:51 69K
[   ]py3-bandwidth-sdk-3.1.0-r7.apk2024-04-15 23:51 44K
[   ]py3-banal-pyc-1.0.6-r3.apk2024-04-15 23:51 7.0K
[   ]py3-banal-1.0.6-r3.apk2024-04-15 23:51 5.4K
[   ]py3-avro-pyc-1.11.3-r1.apk2024-04-15 23:51 191K
[   ]py3-avro-1.11.3-r1.apk2024-04-15 23:51 97K
[   ]py3-asysocks-pyc-0.2.12-r1.apk2024-04-15 23:51 231K
[   ]py3-asysocks-0.2.12-r1.apk2024-04-15 23:51 86K
[   ]py3-async-lru-pyc-2.0.4-r1.apk2024-04-15 23:51 8.4K
[   ]py3-async-lru-2.0.4-r1.apk2024-04-15 23:51 7.0K
[   ]py3-asyauth-pyc-0.0.20-r1.apk2024-04-15 23:51 171K
[   ]py3-asyauth-0.0.20-r1.apk2024-04-15 23:51 79K
[   ]py3-astral-pyc-3.2-r3.apk2024-04-15 23:51 59K
[   ]py3-astral-3.2-r3.apk2024-04-15 23:51 37K
[   ]py3-ask-pyc-0.0.8-r8.apk2024-04-15 23:51 4.2K
[   ]py3-ask-0.0.8-r8.apk2024-04-15 23:51 4.7K
[   ]py3-asif-pyc-0.3.2-r2.apk2024-04-15 23:51 26K
[   ]py3-asif-0.3.2-r2.apk2024-04-15 23:51 12K
[   ]py3-apsw-pyc-3.45.2.0-r1.apk2024-04-15 23:51 319K
[   ]py3-apsw-3.45.2.0-r1.apk2024-04-15 23:51 335K
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-04-15 23:51 179K
[   ]py3-apicula-0.11.1-r1.apk2024-04-15 23:51 8.5M
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-04-15 23:51 3.1K
[   ]py3-anyascii-0.3.2-r1.apk2024-04-15 23:51 274K
[   ]py3-ansi2html-pyc-1.9.1-r1.apk2024-04-15 23:51 22K
[   ]py3-ansi2html-1.9.1-r1.apk2024-04-15 23:51 17K
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-04-15 23:51 29K
[   ]py3-altgraph-0.17.4-r1.apk2024-04-15 23:51 20K
[   ]py3-allfiles-pyc-1.0-r8.apk2024-04-15 23:51 3.0K
[   ]py3-allfiles-1.0-r8.apk2024-04-15 23:51 3.3K
[   ]py3-aioxmpp-pyc-0.13.3-r2.apk2024-04-15 23:51 673K
[   ]py3-aioxmpp-doc-0.13.3-r2.apk2024-04-15 23:51 18K
[   ]py3-aioxmpp-0.13.3-r2.apk2024-04-15 23:51 367K
[   ]py3-aiosmb-pyc-0.4.10-r1.apk2024-04-15 23:51 1.1M
[   ]py3-aiosmb-0.4.10-r1.apk2024-04-15 23:51 596K
[   ]py3-aiosasl-pyc-0.5.0-r3.apk2024-04-15 23:51 24K
[   ]py3-aiosasl-doc-0.5.0-r3.apk2024-04-15 23:51 16K
[   ]py3-aiosasl-0.5.0-r3.apk2024-04-15 23:51 15K
[   ]py3-aioopenssl-pyc-0.6.0-r3.apk2024-04-15 23:51 19K
[   ]py3-aioopenssl-0.6.0-r3.apk2024-04-15 23:51 17K
[   ]py3-aiohttp-session-pyc-2.12.0-r3.apk2024-04-15 23:51 15K
[   ]py3-aiohttp-session-2.12.0-r3.apk2024-04-15 23:51 10K
[   ]py3-aiohttp-remotes-pyc-1.2.0-r3.apk2024-04-15 23:51 19K
[   ]py3-aiohttp-remotes-1.2.0-r3.apk2024-04-15 23:51 10K
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-04-15 23:51 9.0K
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-04-15 23:51 12K
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r1.apk2024-04-15 23:51 51K
[   ]py3-aiohttp-debugtoolbar-0.6.1-r1.apk2024-04-15 23:51 437K
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-04-15 23:51 60K
[   ]py3-aiodocker-0.21.0-r1.apk2024-04-15 23:51 29K
[   ]py3-agithub-pyc-2.2.2-r5.apk2024-04-15 23:51 21K
[   ]py3-agithub-2.2.2-r5.apk2024-04-15 23:51 17K
[   ]py3-aesedb-pyc-0.1.6-r2.apk2024-04-15 23:51 75K
[   ]py3-aesedb-0.1.6-r2.apk2024-04-15 23:51 37K
[   ]pdm-pyc-2.12.4-r1.apk2024-04-15 23:51 444K
[   ]pdm-2.12.4-r1.apk2024-04-15 23:51 210K
[   ]pdal-python-plugins-1.2.1-r3.apk2024-04-15 23:51 232K
[   ]pass2csv-pyc-1.1.1-r1.apk2024-04-15 23:51 7.2K
[   ]pass2csv-1.1.1-r1.apk2024-04-15 23:51 8.1K
[   ]pacparser-doc-1.4.3-r1.apk2024-04-15 23:51 18K
[   ]pacparser-dev-1.4.3-r1.apk2024-04-15 23:51 3.4K
[   ]pacparser-1.4.3-r1.apk2024-04-15 23:51 729K
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-04-15 23:51 3.7K
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-04-15 23:51 45K
[   ]ovos-phal-pyc-0.0.5_alpha6-r1.apk2024-04-15 23:51 6.7K
[   ]ovos-phal-0.0.5_alpha6-r1.apk2024-04-15 23:51 10K
[   ]ovos-messagebus-pyc-0.0.3-r1.apk2024-04-15 23:51 5.8K
[   ]ovos-messagebus-0.0.3-r1.apk2024-04-15 23:51 9.3K
[   ]ovos-gui-pyc-0.0.3_alpha5-r1.apk2024-04-15 23:51 32K
[   ]ovos-gui-0.0.3_alpha5-r1.apk2024-04-15 23:51 40K
[   ]openswitcher-proxy-openrc-0.5.0-r3.apk2024-04-15 23:51 1.8K
[   ]openswitcher-proxy-0.5.0-r3.apk2024-04-15 23:51 9.1K
[   ]openswitcher-0.5.0-r3.apk2024-04-15 23:51 148K
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-04-15 23:51 102K
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-04-15 23:51 17K
[   ]openscap-daemon-0.1.10-r9.apk2024-04-15 23:51 60K
[   ]opendht-libs-3.1.7-r2.apk2024-04-15 23:51 604K
[   ]opendht-doc-3.1.7-r2.apk2024-04-15 23:51 2.8K
[   ]opendht-dev-3.1.7-r2.apk2024-04-15 23:51 72K
[   ]opendht-3.1.7-r2.apk2024-04-15 23:51 190K
[   ]nwg-displays-pyc-0.3.13-r1.apk2024-04-15 23:51 35K
[   ]nwg-displays-0.3.13-r1.apk2024-04-15 23:51 23K
[   ]nicotine-plus-pyc-3.3.2-r1.apk2024-04-15 23:51 755K
[   ]nicotine-plus-lang-3.3.2-r1.apk2024-04-15 23:51 577K
[   ]nicotine-plus-doc-3.3.2-r1.apk2024-04-15 23:51 2.1K
[   ]nicotine-plus-3.3.2-r1.apk2024-04-15 23:51 1.2M
[   ]mobpass-pyc-0.2-r5.apk2024-04-15 23:51 5.0K
[   ]mobpass-0.2-r5.apk2024-04-15 23:51 5.4K
[   ]mnamer-pyc-2.5.5-r1.apk2024-04-15 23:51 60K
[   ]mnamer-2.5.5-r1.apk2024-04-15 23:51 31K
[   ]mkdocs-windmill-pyc-1.0.5-r3.apk2024-04-15 23:51 1.6K
[   ]mkdocs-windmill-1.0.5-r3.apk2024-04-15 23:51 943K
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r4.apk2024-04-15 23:51 1.6K
[   ]mkdocs-rtd-dropdown-1.0.2-r4.apk2024-04-15 23:51 246K
[   ]mkdocs-ivory-pyc-0.4.6-r4.apk2024-04-15 23:51 1.6K
[   ]mkdocs-ivory-0.4.6-r4.apk2024-04-15 23:51 8.9K
[   ]mkdocs-gitbook-pyc-0.0.1-r4.apk2024-04-15 23:51 1.6K
[   ]mkdocs-gitbook-0.0.1-r4.apk2024-04-15 23:51 638K
[   ]mkdocs-cluster-pyc-0.0.9-r4.apk2024-04-15 23:51 1.6K
[   ]mkdocs-cluster-0.0.9-r4.apk2024-04-15 23:51 649K
[   ]mkdocs-cinder-pyc-1.2.0-r4.apk2024-04-15 23:51 1.6K
[   ]mkdocs-cinder-1.2.0-r4.apk2024-04-15 23:51 245K
[   ]mkdocs-bootswatch-pyc-1.1-r4.apk2024-04-15 23:51 4.5K
[   ]mkdocs-bootswatch-1.1-r4.apk2024-04-15 23:51 535K
[   ]mkdocs-bootstrap4-pyc-0.1.5-r4.apk2024-04-15 23:51 1.6K
[   ]mkdocs-bootstrap4-0.1.5-r4.apk2024-04-15 23:51 259K
[   ]mkdocs-bootstrap386-pyc-0.0.2-r4.apk2024-04-15 23:51 1.6K
[   ]mkdocs-bootstrap386-0.0.2-r4.apk2024-04-15 23:51 789K
[   ]mkdocs-bootstrap-pyc-1.1.1-r1.apk2024-04-15 23:51 1.6K
[   ]mkdocs-bootstrap-1.1.1-r1.apk2024-04-15 23:51 27K
[   ]mimeo-pyc-2023-r1.apk2024-04-15 23:51 41K
[   ]mimeo-2023-r1.apk2024-04-15 23:51 21K
[   ]masky-pyc-0.2.0-r1.apk2024-04-15 23:51 64K
[   ]masky-0.2.0-r1.apk2024-04-15 23:51 277K
[   ]m2r2-pyc-0.3.3-r2.apk2024-04-15 23:51 15K
[   ]m2r2-0.3.3-r2.apk2024-04-15 23:51 12K
[   ]lua5.1-libguestfs-1.52.0-r1.apk2024-04-15 23:51 91K
[   ]lsip6-pyc-0.2.0-r1.apk2024-04-15 23:51 4.8K
[   ]lsip6-0.2.0-r1.apk2024-04-15 23:51 5.2K
[   ]lshell-pyc-0.9.18-r11.apk2024-04-15 23:51 35K
[   ]lshell-doc-0.9.18-r11.apk2024-04-15 23:51 25K
[   ]lshell-0.9.18-r11.apk2024-04-15 23:51 36K
[   ]limnoria-pyc-20220927-r3.apk2024-04-15 23:51 1.2M
[   ]limnoria-doc-20220927-r3.apk2024-04-15 23:51 7.7K
[   ]limnoria-20220927-r3.apk2024-04-15 23:51 1.0M
[   ]licenseheaders-pyc-0.8.8-r3.apk2024-04-15 23:51 18K
[   ]licenseheaders-0.8.8-r3.apk2024-04-15 23:51 17K
[   ]libvoikko-doc-4.3.2-r1.apk2024-04-15 23:51 5.5K
[   ]libvoikko-dev-4.3.2-r1.apk2024-04-15 23:51 9.7K
[   ]libvoikko-4.3.2-r1.apk2024-04-15 23:51 136K
[   ]libiio-tools-0.25-r1.apk2024-04-15 23:51 101K
[   ]libiio-pyc-0.25-r1.apk2024-04-15 23:51 21K
[   ]libiio-doc-0.25-r1.apk2024-04-15 23:51 18K
[   ]libiio-dev-0.25-r1.apk2024-04-15 23:51 13K
[   ]libiio-0.25-r1.apk2024-04-15 23:51 55K
[   ]libguestfs-static-1.52.0-r1.apk2024-04-15 23:51 508K
[   ]libguestfs-doc-1.52.0-r1.apk2024-04-15 23:51 560K
[   ]libguestfs-dev-1.52.0-r1.apk2024-04-15 23:51 29K
[   ]libguestfs-1.52.0-r1.apk2024-04-15 23:51 303K
[   ]lfm-pyc-3.1-r4.apk2024-04-15 23:51 133K
[   ]lfm-doc-3.1-r4.apk2024-04-15 23:51 2.5K
[   ]lfm-3.1-r4.apk2024-04-15 23:51 88K
[   ]ldapdomaindump-pyc-0.9.4-r1.apk2024-04-15 23:51 30K
[   ]ldapdomaindump-0.9.4-r1.apk2024-04-15 23:51 18K
[   ]kubesplit-pyc-0.3.3-r1.apk2024-04-15 23:51 13K
[   ]kubesplit-0.3.3-r1.apk2024-04-15 23:51 12K
[   ]kimchi-pyc-3.0.0-r7.apk2024-04-15 23:51 378K
[   ]kimchi-lang-3.0.0-r7.apk2024-04-15 23:51 172K
[   ]kimchi-3.0.0-r7.apk2024-04-15 23:51 536K
[   ]keystone-python-pyc-0.9.2-r6.apk2024-04-15 23:51 9.4K
[   ]keystone-python-0.9.2-r6.apk2024-04-15 23:51 1.6M
[   ]keystone-dev-0.9.2-r6.apk2024-04-15 23:51 7.0K
[   ]keystone-0.9.2-r6.apk2024-04-15 23:51 1.4M
[   ]kerberoast-pyc-0.2.0-r1.apk2024-04-15 23:51 15K
[   ]kerberoast-0.2.0-r1.apk2024-04-15 23:51 9.4K
[   ]junit2html-pyc-0.2.0-r3.apk2024-04-15 23:51 24K
[   ]junit2html-0.2.0-r3.apk2024-04-15 23:51 13K
[   ]jackdaw-pyc-0.3.1-r1.apk2024-04-15 23:51 370K
[   ]jackdaw-0.3.1-r1.apk2024-04-15 23:51 2.0M
[   ]imediff-pyc-2.6-r1.apk2024-04-15 23:51 43K
[   ]imediff-doc-2.6-r1.apk2024-04-15 23:51 6.3K
[   ]imediff-2.6-r1.apk2024-04-15 23:51 41K
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-04-15 23:51 2.0K
[   ]httpie-oauth-1.0.2-r9.apk2024-04-15 23:51 3.1K
[   ]hfst-libs-3.16.0-r2.apk2024-04-15 23:50 1.7M
[   ]hfst-doc-3.16.0-r2.apk2024-04-15 23:50 71K
[   ]hfst-dev-3.16.0-r2.apk2024-04-15 23:50 212K
[   ]hfst-3.16.0-r2.apk2024-04-15 23:50 1.4M
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-04-15 23:50 358K
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-04-15 23:50 205K
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-04-15 23:50 116K
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-04-15 23:50 1.7K
[   ]hamster-time-tracker-3.0.3-r2.apk2024-04-15 23:50 156K
[   ]gufw-pyc-24.04-r1.apk2024-04-15 23:50 97K
[   ]gufw-lang-24.04-r1.apk2024-04-15 23:50 857K
[   ]gufw-doc-24.04-r1.apk2024-04-15 23:50 4.3K
[   ]gufw-24.04-r1.apk2024-04-15 23:50 576K
[   ]guestfs-tools-1.52.0-r1.apk2024-04-15 23:50 287K
[   ]guake-pyc-3.10-r1.apk2024-04-15 23:50 186K
[   ]guake-lang-3.10-r1.apk2024-04-15 23:50 188K
[   ]guake-3.10-r1.apk2024-04-15 23:50 305K
[   ]git-revise-pyc-0.7.0-r4.apk2024-04-15 23:50 42K
[   ]git-revise-doc-0.7.0-r4.apk2024-04-15 23:50 4.7K
[   ]git-revise-0.7.0-r4.apk2024-04-15 23:50 23K
[   ]git-cola-pyc-4.4.1-r1.apk2024-04-15 23:50 738K
[   ]git-cola-doc-4.4.1-r1.apk2024-04-15 23:50 5.6K
[   ]git-cola-4.4.1-r1.apk2024-04-15 23:50 826K
[   ]gingerbase-pyc-2.3.0-r7.apk2024-04-15 23:50 61K
[   ]gingerbase-lang-2.3.0-r7.apk2024-04-15 23:50 53K
[   ]gingerbase-2.3.0-r7.apk2024-04-15 23:50 195K
[   ]ginger-pyc-2.4.0-r7.apk2024-04-15 23:50 207K
[   ]ginger-lang-2.4.0-r7.apk2024-04-15 23:50 125K
[   ]ginger-2.4.0-r7.apk2024-04-15 23:50 257K
[   ]getting-things-gnome-lang-0.6-r3.apk2024-04-15 23:50 230K
[   ]getting-things-gnome-doc-0.6-r3.apk2024-04-15 23:50 498K
[   ]getting-things-gnome-0.6-r3.apk2024-04-15 23:50 723K
[   ]gdm-settings-lang-4.3-r1.apk2024-04-15 23:50 155K
[   ]gdm-settings-4.3-r1.apk2024-04-15 23:50 151K
[   ]gaupol-pyc-1.12-r2.apk2024-04-15 23:50 419K
[   ]gaupol-lang-1.12-r2.apk2024-04-15 23:50 276K
[   ]gaupol-doc-1.12-r2.apk2024-04-15 23:50 2.1K
[   ]gaupol-1.12-r2.apk2024-04-15 23:50 276K
[   ]gammastep-pyc-2.0.9-r3.apk2024-04-15 23:50 17K
[   ]gammastep-lang-2.0.9-r3.apk2024-04-15 23:50 77K
[   ]gammastep-doc-2.0.9-r3.apk2024-04-15 23:50 14K
[   ]gammastep-2.0.9-r3.apk2024-04-15 23:50 90K
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-04-15 23:50 1.2M
[   ]frescobaldi-doc-3.3.0-r1.apk2024-04-15 23:50 2.2K
[   ]frescobaldi-3.3.0-r1.apk2024-04-15 23:50 3.5M
[   ]fabric-pyc-3.2.2-r1.apk2024-04-15 23:50 60K
[   ]fabric-3.2.2-r1.apk2024-04-15 23:50 54K
[   ]exabgp-pyc-4.2.21-r4.apk2024-04-15 23:50 897K
[   ]exabgp-openrc-4.2.21-r4.apk2024-04-15 23:50 2.0K
[   ]exabgp-doc-4.2.21-r4.apk2024-04-15 23:50 7.9K
[   ]exabgp-4.2.21-r4.apk2024-04-15 23:50 436K
[   ]esptool-pyc-4.7.0-r1.apk2024-04-15 23:50 446K
[   ]esptool-4.7.0-r1.apk2024-04-15 23:50 296K
[   ]epr-pyc-2.4.15-r1.apk2024-04-15 23:50 24K
[   ]epr-2.4.15-r1.apk2024-04-15 23:50 15K
[   ]downloader-cli-0.3.4-r1.apk2024-04-15 23:50 1.8K
[   ]dooit-pyc-2.2.0-r1.apk2024-04-15 23:50 96K
[   ]dooit-2.2.0-r1.apk2024-04-15 23:50 42K
[   ]dmarc-metrics-exporter-pyc-1.0.0-r2.apk2024-04-15 23:50 46K
[   ]dmarc-metrics-exporter-openrc-1.0.0-r2.apk2024-04-15 23:50 1.7K
[   ]dmarc-metrics-exporter-1.0.0-r2.apk2024-04-15 23:50 26K
[   ]diceware-pyc-0.10-r1.apk2024-04-15 23:50 17K
[   ]diceware-0.10-r1.apk2024-04-15 23:50 217K
[   ]dcnnt-pyc-0.10.0-r1.apk2024-04-15 23:50 61K
[   ]dcnnt-doc-0.10.0-r1.apk2024-04-15 23:50 6.3K
[   ]dcnnt-0.10.0-r1.apk2024-04-15 23:50 28K
[   ]curtail-lang-1.9.1-r0.apk2024-04-15 23:50 62K
[   ]curtail-1.9.1-r0.apk2024-04-15 23:50 25K
[   ]crossplane-pyc-0.5.8-r2.apk2024-04-15 23:50 39K
[   ]crossplane-0.5.8-r2.apk2024-04-15 23:50 25K
[   ]createrepo_c-libs-1.0.2-r1.apk2024-04-15 23:50 89K
[   ]createrepo_c-doc-1.0.2-r1.apk2024-04-15 23:50 8.4K
[   ]createrepo_c-dev-1.0.2-r1.apk2024-04-15 23:50 31K
[   ]createrepo_c-bash-completion-1.0.2-r1.apk2024-04-15 23:50 2.6K
[   ]createrepo_c-1.0.2-r1.apk2024-04-15 23:50 53K
[   ]cpplint-pyc-1.6.1_git20240320-r1.apk2024-04-15 23:50 94K
[   ]cpplint-1.6.1_git20240320-r1.apk2024-04-15 23:50 76K
[   ]certbot-dns-njalla-1.0.2-r2.apk2024-04-15 23:50 9.3K
[   ]cdist-pyc-7.0.0-r5.apk2024-04-15 23:50 127K
[   ]cdist-7.0.0-r5.apk2024-04-15 23:50 460K
[   ]catfish-pyc-4.18.0-r2.apk2024-04-15 23:50 101K
[   ]catfish-lang-4.18.0-r2.apk2024-04-15 23:50 250K
[   ]catfish-doc-4.18.0-r2.apk2024-04-15 23:50 12K
[   ]catfish-4.18.0-r2.apk2024-04-15 23:50 218K
[   ]bump2version-pyc-1.0.1-r6.apk2024-04-15 23:50 29K
[   ]bump2version-1.0.1-r6.apk2024-04-15 23:50 21K
[   ]bootinfo-pyc-0.1.0-r3.apk2024-04-15 23:50 8.0K
[   ]bootinfo-0.1.0-r3.apk2024-04-15 23:50 6.7K
[   ]bdfr-2.6.2-r1.apk2024-04-15 23:50 131K
[   ]py3-janus-pyc-1.0.0-r3.apk2024-04-15 17:00 13K
[   ]py3-janus-1.0.0-r3.apk2024-04-15 17:00 7.9K
[   ]pantalaimon-ui-0.10.5-r4.apk2024-04-15 17:00 1.5K
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-04-15 17:00 83K
[   ]pantalaimon-doc-0.10.5-r4.apk2024-04-15 17:00 6.1K
[   ]pantalaimon-0.10.5-r4.apk2024-04-15 17:00 45K
[   ]git2json-pyc-0.2.3-r8.apk2024-04-15 16:41 5.4K
[   ]git2json-0.2.3-r8.apk2024-04-15 16:41 7.2K
[   ]py3-publicsuffix2-pyc-2.20191221-r5.apk2024-04-15 16:28 8.1K
[   ]py3-publicsuffix2-2.20191221-r5.apk2024-04-15 16:28 80K
[   ]py3-parver-pyc-0.5-r1.apk2024-04-15 16:28 26K
[   ]py3-parver-0.5-r1.apk2024-04-15 16:28 16K
[   ]py3-arpeggio-pyc-2.0.2-r1.apk2024-04-15 16:28 41K
[   ]py3-arpeggio-2.0.2-r1.apk2024-04-15 16:28 22K
[   ]teapot-tools-0.4.2-r2.apk2024-04-15 16:26 2.1M
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-04-15 15:55 41K
[   ]libsigrokdecode-0.5.3-r4.apk2024-04-15 15:55 336K
[   ]py3-python-jose-pyc-3.3.0-r2.apk2024-04-15 15:09 49K
[   ]py3-python-jose-doc-3.3.0-r2.apk2024-04-15 15:09 2.9K
[   ]py3-python-jose-3.3.0-r2.apk2024-04-15 15:09 28K
[   ]py3-pydes-pyc-2.0.1-r4.apk2024-04-14 22:33 13K
[   ]py3-pydes-doc-2.0.1-r4.apk2024-04-14 22:33 3.4K
[   ]py3-pydes-2.0.1-r4.apk2024-04-14 22:33 9.4K
[   ]py3-keepalive-pyc-0.5-r5.apk2024-04-14 22:33 13K
[   ]py3-keepalive-doc-0.5-r5.apk2024-04-14 22:33 1.7K
[   ]py3-keepalive-0.5-r5.apk2024-04-14 22:33 8.7K
[   ]py3-eventlet-pyc-0.36.1-r0.apk2024-04-14 22:11 336K
[   ]py3-eventlet-0.36.1-r0.apk2024-04-14 22:11 334K
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-04-14 19:05 729K
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-04-14 19:05 5.5K
[   ]py3-slixmpp-1.8.5-r2.apk2024-04-14 19:05 383K
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-04-14 19:05 5.1K
[   ]py3-pickle-secure-0.99.9-r1.apk2024-04-14 19:05 7.2K
[   ]py3-chameleon-pyc-4.5.4-r0.apk2024-04-14 14:23 131K
[   ]py3-chameleon-4.5.4-r0.apk2024-04-14 14:23 97K
[   ]steghide-doc-0.5.1.1-r0.apk2024-04-14 10:20 13K
[   ]steghide-0.5.1.1-r0.apk2024-04-14 10:20 157K
[   ]shadowsocks-libev-doc-3.3.5-r4.apk2024-04-13 19:05 28K
[   ]shadowsocks-libev-dev-3.3.5-r4.apk2024-04-13 19:05 3.2K
[   ]shadowsocks-libev-3.3.5-r4.apk2024-04-13 19:05 219K
[   ]py3-stringcase-pyc-1.2.0-r8.apk2024-04-13 19:05 3.6K
[   ]py3-stringcase-1.2.0-r8.apk2024-04-13 19:05 4.5K
[   ]py3-spin-pyc-0.8-r0.apk2024-04-13 19:05 24K
[   ]py3-spin-0.8-r0.apk2024-04-13 19:05 18K
[   ]py3-nptyping-pyc-2.5.0-r2.apk2024-04-13 19:05 32K
[   ]py3-nptyping-2.5.0-r2.apk2024-04-13 19:05 20K
[   ]py3-levenshtein-pyc-0.25.1-r2.apk2024-04-13 19:05 9.2K
[   ]py3-levenshtein-0.25.1-r2.apk2024-04-13 19:05 171K
[   ]py3-fuzzywuzzy-pyc-0.18.0-r6.apk2024-04-13 19:05 14K
[   ]py3-fuzzywuzzy-0.18.0-r6.apk2024-04-13 19:05 11K
[   ]perl-future-http-doc-0.17-r0.apk2024-04-13 19:05 15K
[   ]perl-future-http-0.17-r0.apk2024-04-13 19:05 9.0K
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-04-13 19:05 8.8K
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk2024-04-13 19:05 12K
[   ]linphone-libs-5.3.38-r0.apk2024-04-13 19:05 3.1M
[   ]linphone-dev-5.3.38-r0.apk2024-04-13 19:05 249K
[   ]linphone-5.3.38-r0.apk2024-04-13 19:05 9.0M
[   ]libshadowsocks-libev-3.3.5-r4.apk2024-04-13 19:05 48K
[   ]firewalld-zsh-completion-2.1.2-r0.apk2024-04-13 19:05 5.9K
[   ]firewalld-openrc-2.1.2-r0.apk2024-04-13 19:05 1.5K
[   ]firewalld-lang-2.1.2-r0.apk2024-04-13 19:05 541K
[   ]firewalld-gui-2.1.2-r0.apk2024-04-13 19:05 110K
[   ]firewalld-doc-2.1.2-r0.apk2024-04-13 19:05 80K
[   ]firewalld-bash-completion-2.1.2-r0.apk2024-04-13 19:05 3.9K
[   ]firewalld-2.1.2-r0.apk2024-04-13 19:05 1.0M
[   ]dislocker-libs-0.7.3-r5.apk2024-04-13 19:05 45K
[   ]dislocker-doc-0.7.3-r5.apk2024-04-13 19:05 5.8K
[   ]dislocker-0.7.3-r5.apk2024-04-13 19:05 18K
[   ]belr-dev-5.3.38-r0.apk2024-04-13 19:05 15K
[   ]belr-5.3.38-r0.apk2024-04-13 19:05 118K
[   ]belle-sip-dev-5.3.38-r0.apk2024-04-13 19:05 55K
[   ]belle-sip-5.3.38-r0.apk2024-04-13 19:05 620K
[   ]belcard-libs-5.3.38-r0.apk2024-04-13 19:05 226K
[   ]belcard-dev-5.3.38-r0.apk2024-04-13 19:05 12K
[   ]belcard-5.3.38-r0.apk2024-04-13 19:05 16K
[   ]autotrash-pyc-0.4.6-r1.apk2024-04-13 19:05 14K
[   ]autotrash-0.4.6-r1.apk2024-04-13 19:05 23K
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-04-13 19:05 65K
[   ]ansible-bender-doc-0.10.1-r2.apk2024-04-13 19:05 9.8K
[   ]ansible-bender-0.10.1-r2.apk2024-04-13 19:05 36K
[   ]ampy-pyc-1.1.0-r5.apk2024-04-13 19:05 19K
[   ]ampy-doc-1.1.0-r5.apk2024-04-13 19:05 3.2K
[   ]ampy-1.1.0-r5.apk2024-04-13 19:05 16K
[   ]amiitool-2-r2.apk2024-04-13 19:05 6.6K
[   ]amdgpu-fan-pyc-0.1.0-r4.apk2024-04-13 19:05 9.4K
[   ]amdgpu-fan-0.1.0-r4.apk2024-04-13 19:05 6.7K
[   ]ovn-openrc-24.03.1-r0.apk2024-04-12 08:16 3.9K
[   ]ovn-doc-24.03.1-r0.apk2024-04-12 08:16 512K
[   ]ovn-dev-24.03.1-r0.apk2024-04-12 08:16 10M
[   ]ovn-dbg-24.03.1-r0.apk2024-04-12 08:16 25M
[   ]ovn-24.03.1-r0.apk2024-04-12 08:16 7.4M
[   ]xsecurelock-doc-1.9.0-r1.apk2024-04-12 00:06 17K
[   ]xsecurelock-1.9.0-r1.apk2024-04-12 00:06 75K
[   ]goomwwm-1.0.0-r4.apk2024-04-12 00:06 48K
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-04-11 12:47 2.0K
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-04-11 12:47 1.7K
[   ]daktilo-doc-0.6.0-r0.apk2024-04-11 12:47 8.4K
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-04-11 12:47 1.9K
[   ]daktilo-0.6.0-r0.apk2024-04-11 12:47 1.8M
[   ]php81-pecl-zstd-0.13.3-r0.apk2024-04-11 01:39 11K
[   ]php81-pecl-yaml-2.2.3-r1.apk2024-04-11 01:39 19K
[   ]php81-pecl-xlswriter-1.5.5-r0.apk2024-04-11 01:39 231K
[   ]php81-pecl-vips-1.0.13-r0.apk2024-04-11 01:39 17K
[   ]php81-pecl-uuid-1.2.0-r0.apk2024-04-11 01:39 6.6K
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-04-11 01:39 9.7K
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-04-11 01:39 6.7K
[   ]php81-pecl-timezonedb-2024.1-r0.apk2024-04-11 01:39 185K
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-04-11 01:39 28K
[   ]php81-pecl-smbclient-1.1.1-r1.apk2024-04-11 01:39 21K
[   ]php81-pecl-rdkafka-6.0.3-r2.apk2024-04-11 01:39 36K
[   ]php81-pecl-psr-1.2.0-r0.apk2024-04-11 01:39 17K
[   ]php81-pecl-protobuf-4.26.0-r0.apk2024-04-11 01:39 136K
[   ]php81-pecl-pcov-1.0.11-r0.apk2024-04-11 01:39 9.9K
[   ]php81-pecl-memcached-3.2.0-r3.apk2024-04-11 01:39 46K
[   ]php81-pecl-memcache-8.2-r1.apk2024-04-11 01:39 43K
[   ]php81-pecl-mcrypt-1.0.7-r0.apk2024-04-11 01:39 15K
[   ]php81-pecl-maxminddb-1.11.1-r0.apk2024-04-11 01:39 8.2K
[   ]php81-pecl-mailparse-3.1.6-r0.apk2024-04-11 01:39 24K
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-04-11 01:39 7.6K
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-04-11 01:39 32K
[   ]php81-pecl-imagick-dev-3.7.0-r5.apk2024-04-11 01:39 2.0K
[   ]php81-pecl-imagick-3.7.0-r5.apk2024-04-11 01:39 109K
[   ]php81-pecl-igbinary-3.2.15-r0.apk2024-04-11 01:39 35K
[   ]php81-pecl-event-3.1.3-r0.apk2024-04-11 01:39 51K
[   ]php81-pecl-ds-1.5.0-r0.apk2024-04-11 01:39 64K
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-04-11 01:39 19K
[   ]php81-pecl-ast-1.1.1-r0.apk2024-04-11 01:39 19K
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-04-11 01:39 54K
[   ]heh-doc-0.5.0-r0.apk2024-04-11 01:39 3.8K
[   ]heh-0.5.0-r0.apk2024-04-11 01:39 530K
[   ]unit-php81-1.32.1-r0.apk2024-04-10 18:32 32K
[   ]cherrytree-lang-1.1.2-r0.apk2024-04-10 01:14 812K
[   ]cherrytree-doc-1.1.2-r0.apk2024-04-10 01:14 1.9K
[   ]cherrytree-1.1.2-r0.apk2024-04-10 01:14 2.5M
[   ]emacs-embark-consult-1.0_git20240327-r0.apk2024-04-09 16:46 10K
[   ]emacs-embark-1.0_git20240327-r0.apk2024-04-09 16:46 109K
[   ]emacs-consult-1.4_git20240405-r0.apk2024-04-09 16:46 137K
[   ]emacs-avy-embark-collect-1.0_git20240327-r0.apk2024-04-09 16:46 3.6K
[   ]pest-language-server-0.3.9-r0.apk2024-04-08 22:23 1.1M
[   ]i3status-rust-doc-0.33.1-r0.apk2024-04-08 21:56 31K
[   ]i3status-rust-0.33.1-r0.apk2024-04-08 21:56 3.8M
[   ]stone-soup-0.31.0-r0.apk2024-04-08 00:36 28M
[   ]droidcam-gui-2.1.3-r0.apk2024-04-06 01:23 29K
[   ]droidcam-2.1.3-r0.apk2024-04-06 01:23 19K
[   ]trippy-zsh-completion-0.10.0-r0.apk2024-04-06 00:46 4.4K
[   ]trippy-bash-completion-0.10.0-r0.apk2024-04-06 00:46 2.9K
[   ]trippy-0.10.0-r0.apk2024-04-06 00:46 1.9M
[   ]zycore-doc-1.5.0-r0.apk2024-04-06 00:35 394K
[   ]zycore-dev-1.5.0-r0.apk2024-04-06 00:35 38K
[   ]zycore-1.5.0-r0.apk2024-04-06 00:35 22K
[   ]perl-uri-db-doc-0.22-r0.apk2024-04-05 14:53 8.2K
[   ]perl-uri-db-0.22-r0.apk2024-04-05 14:53 12K
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-04-04 16:58 5.3K
[   ]hexedit-1.6_git20230905-r0.apk2024-04-04 16:58 17K
[   ]lua5.4-lanes-3.16.0-r1.apk2024-04-04 13:35 58K
[   ]lua5.3-lanes-3.16.0-r1.apk2024-04-04 13:35 58K
[   ]lua5.2-lanes-3.16.0-r1.apk2024-04-04 13:35 57K
[   ]lua5.1-lanes-3.16.0-r1.apk2024-04-04 13:35 58K
[   ]lua-lanes-3.16.0-r1.apk2024-04-04 13:35 1.2K
[   ]utop-full-2.9.1-r4.apk2024-04-04 12:21 13M
[   ]utop-emacs-2.9.1-r4.apk2024-04-04 12:21 13K
[   ]utop-doc-2.9.1-r4.apk2024-04-04 12:21 5.5K
[   ]utop-common-2.9.1-r4.apk2024-04-04 12:21 1.8K
[   ]utop-2.9.1-r4.apk2024-04-04 12:21 13M
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-04-04 12:21 763K
[   ]ocaml-utop-2.9.1-r4.apk2024-04-04 12:21 349K
[   ]node-libpg-query-13.1.2-r4.apk2024-04-03 12:47 19K
[   ]perl-snmp-info-doc-3.970001-r0.apk2024-04-03 03:00 374K
[   ]perl-snmp-info-3.970001-r0.apk2024-04-03 03:00 335K
[   ]hyperlink-0.1.32-r0.apk2024-04-03 03:00 567K
[   ]dlib-dev-19.24.4-r0.apk2024-04-02 10:34 2.4M
[   ]dlib-19.24.4-r0.apk2024-04-02 10:34 790K
[   ]wolfssh-dev-1.4.17-r0.apk2024-04-02 09:53 174K
[   ]wolfssh-1.4.17-r0.apk2024-04-02 09:53 138K
[   ]power-profiles-daemon-0.20-r1.apk2024-04-02 09:53 34K
[   ]emacs-taxy-magit-section-0.13-r0.apk2024-04-02 09:53 17K
[   ]emacs-taxy-0.10.1-r0.apk2024-04-02 09:53 11K
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-04-02 09:53 19K
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-04-02 09:53 29K
[   ]emacs-persist-0.6_git20240114-r0.apk2024-04-02 09:53 6.4K
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-04-02 09:53 46K
[   ]emacs-hnreader-0_git20221116-r0.apk2024-04-02 09:53 9.5K
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-04-02 09:53 815K
[   ]emacs-hackernews-0.7.0-r0.apk2024-04-02 09:53 15K
[   ]emacs-ement-0.14_git20240320-r0.apk2024-04-02 09:53 284K
[   ]emacs-elfeed-3.4.1_git20240326-r0.apk2024-04-02 09:53 91K
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-04-02 09:53 55K
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-04-02 09:53 43K
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-04-02 09:53 23K
[   ]detox-doc-2.0.0-r0.apk2024-04-01 21:42 20K
[   ]detox-2.0.0-r0.apk2024-04-01 21:42 110K
[   ]cargo-generate-0.20.0-r0.apk2024-04-01 21:42 2.1M
[   ]xlhtml-doc-0.5.1-r0.apk2024-04-01 20:10 2.2K
[   ]xlhtml-0.5.1-r0.apk2024-04-01 20:10 12K
[   ]tnef-doc-1.4.18-r0.apk2024-04-01 20:10 4.0K
[   ]tnef-1.4.18-r0.apk2024-04-01 20:10 26K
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-03-29 12:24 1.9M
[   ]tachyon-0.99_beta6-r1.apk2024-03-29 12:24 119K
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-03-29 12:24 31K
[   ]libhomfly-dev-1.02_p6-r1.apk2024-03-29 12:24 23K
[   ]libhomfly-1.02_p6-r1.apk2024-03-29 12:24 19K
[   ]lotide-openrc-0.15.0-r0.apk2024-03-29 02:23 2.9K
[   ]lotide-0.15.0-r0.apk2024-03-29 02:23 3.6M
[   ]hitide-openrc-0.15.0-r0.apk2024-03-29 02:23 1.9K
[   ]hitide-0.15.0-r0.apk2024-03-29 02:23 2.0M
[   ]runst-doc-0.1.7-r0.apk2024-03-28 21:31 7.5K
[   ]runst-0.1.7-r0.apk2024-03-28 21:31 1.6M
[   ]perl-nice-try-doc-1.3.10-r0.apk2024-03-28 21:31 11K
[   ]perl-nice-try-1.3.10-r0.apk2024-03-28 21:31 25K
[   ]muon-doc-0.2.0-r2.apk2024-03-28 21:31 67K
[   ]muon-0.2.0-r2.apk2024-03-28 21:31 226K
[   ]cups-pdf-3.0.1-r2.apk2024-03-28 21:30 22K
[   ]lsd-zsh-completion-1.1.1-r0.apk2024-03-26 00:03 3.2K
[   ]lsd-fish-completion-1.1.1-r0.apk2024-03-26 00:03 2.9K
[   ]lsd-bash-completion-1.1.1-r0.apk2024-03-26 00:03 2.3K
[   ]lsd-1.1.1-r0.apk2024-03-26 00:03 1.2M
[   ]xosview-doc-1.24-r0.apk2024-03-25 16:45 12K
[   ]xosview-1.24-r0.apk2024-03-25 16:45 127K
[   ]lazymc-openrc-0.2.11-r0.apk2024-03-24 17:10 1.8K
[   ]lazymc-0.2.11-r0.apk2024-03-24 17:10 1.2M
[   ]cargo-machete-doc-0.6.2-r0.apk2024-03-24 17:10 3.7K
[   ]cargo-machete-0.6.2-r0.apk2024-03-24 17:10 1.2M
[   ]seed7-vim-05.20240322-r0.apk2024-03-24 14:31 3.8K
[   ]seed7-nano-05.20240322-r0.apk2024-03-24 14:31 2.2K
[   ]seed7-doc-05.20240322-r0.apk2024-03-24 14:31 1.6M
[   ]seed7-05.20240322-r0.apk2024-03-24 14:31 9.8M
[   ]reason-rtop-3.8.2-r1.apk2024-03-24 03:13 24M
[   ]reason-3.8.2-r1.apk2024-03-24 03:12 20M
[   ]ocp-index-vim-1.3.6-r0.apk2024-03-24 03:12 2.8K
[   ]ocp-index-emacs-1.3.6-r0.apk2024-03-24 03:12 6.0K
[   ]ocp-index-doc-1.3.6-r0.apk2024-03-24 03:12 30K
[   ]ocp-index-1.3.6-r0.apk2024-03-24 03:12 5.8M
[   ]ocp-indent-vim-1.8.2-r2.apk2024-03-24 03:12 2.2K
[   ]ocp-indent-emacs-1.8.2-r2.apk2024-03-24 03:12 3.5K
[   ]ocp-indent-doc-1.8.2-r2.apk2024-03-24 03:12 17K
[   ]ocp-indent-1.8.2-r2.apk2024-03-24 03:12 1.2M
[   ]ocamlnet-tcl-4.1.9-r2.apk2024-03-24 03:12 56K
[   ]ocamlnet-dev-4.1.9-r2.apk2024-03-24 03:12 6.5M
[   ]ocamlnet-4.1.9-r2.apk2024-03-24 03:12 17M
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-03-24 03:12 1.2M
[   ]ocaml-zed-3.1.0-r3.apk2024-03-24 03:12 526K
[   ]ocaml-yojson-dev-2.1.2-r0.apk2024-03-24 03:12 1.7M
[   ]ocaml-yojson-2.1.2-r0.apk2024-03-24 03:12 1.0M
[   ]ocaml-xmlm-dev-1.4.0-r2.apk2024-03-24 03:12 106K
[   ]ocaml-xmlm-1.4.0-r2.apk2024-03-24 03:12 589K
[   ]ocaml-xml-light-dev-2.5-r0.apk2024-03-24 03:12 396K
[   ]ocaml-xml-light-2.5-r0.apk2024-03-24 03:12 220K
[   ]ocaml-x509-dev-0.16.0-r2.apk2024-03-24 03:12 1.6M
[   ]ocaml-x509-0.16.0-r2.apk2024-03-24 03:12 845K
[   ]ocaml-uutf-dev-1.0.3-r2.apk2024-03-24 03:12 60K
[   ]ocaml-uutf-1.0.3-r2.apk2024-03-24 03:12 659K
[   ]ocaml-uuidm-tools-0.9.8-r2.apk2024-03-24 03:12 472K
[   ]ocaml-uuidm-dev-0.9.8-r2.apk2024-03-24 03:12 26K
[   ]ocaml-uuidm-0.9.8-r2.apk2024-03-24 03:12 47K
[   ]ocaml-uucd-dev-14.0.0-r2.apk2024-03-24 03:12 182K
[   ]ocaml-uucd-14.0.0-r2.apk2024-03-24 03:12 276K
[   ]ocaml-uri-dev-4.2.0-r2.apk2024-03-24 03:12 4.3M
[   ]ocaml-uri-4.2.0-r2.apk2024-03-24 03:12 1.4M
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-03-24 03:12 26K
[   ]ocaml-trie-1.0.0-r2.apk2024-03-24 03:12 16K
[   ]ocaml-topkg-dev-1.0.5-r2.apk2024-03-24 03:12 442K
[   ]ocaml-topkg-1.0.5-r2.apk2024-03-24 03:12 621K
[   ]ocaml-tophide-1.0.4-r2.apk2024-03-24 03:12 5.4K
[   ]ocaml-tls-dev-0.15.3-r4.apk2024-03-24 03:12 2.4M
[   ]ocaml-tls-0.15.3-r4.apk2024-03-24 03:12 1.3M
[   ]ocaml-tcpip-dev-7.1.2-r3.apk2024-03-24 03:12 2.5M
[   ]ocaml-tcpip-7.1.2-r3.apk2024-03-24 03:12 1.2M
[   ]ocaml-stringext-dev-1.6.0-r2.apk2024-03-24 03:12 79K
[   ]ocaml-stringext-1.6.0-r2.apk2024-03-24 03:12 44K
[   ]ocaml-stdlib-shims-0.3.0-r2.apk2024-03-24 03:12 4.2K
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-03-24 03:12 231K
[   ]ocaml-sha-1.15.4-r0.apk2024-03-24 03:12 74K
[   ]ocaml-sexplib0-dev-0.16.0-r0.apk2024-03-24 03:12 344K
[   ]ocaml-sexplib0-0.16.0-r0.apk2024-03-24 03:12 173K
[   ]ocaml-sexplib-dev-0.16.0-r0.apk2024-03-24 03:12 848K
[   ]ocaml-sexplib-0.16.0-r0.apk2024-03-24 03:12 497K
[   ]ocaml-seq-dev-0.3.1-r2.apk2024-03-24 03:12 21K
[   ]ocaml-seq-0.3.1-r2.apk2024-03-24 03:12 15K
[   ]ocaml-sedlex-dev-3.2-r0.apk2024-03-24 03:12 1.5M
[   ]ocaml-sedlex-3.2-r0.apk2024-03-24 03:12 4.4M
[   ]ocaml-rresult-dev-0.7.0-r2.apk2024-03-24 03:12 37K
[   ]ocaml-rresult-0.7.0-r2.apk2024-03-24 03:12 41K
[   ]ocaml-result-dev-1.5-r2.apk2024-03-24 03:12 8.0K
[   ]ocaml-result-1.5-r2.apk2024-03-24 03:12 9.4K
[   ]ocaml-reason-dev-3.8.2-r1.apk2024-03-24 03:12 29M
[   ]ocaml-reason-3.8.2-r1.apk2024-03-24 03:12 15M
[   ]ocaml-react-dev-1.2.2-r2.apk2024-03-24 03:12 211K
[   ]ocaml-react-1.2.2-r2.apk2024-03-24 03:12 288K
[   ]ocaml-re-dev-1.11.0-r1.apk2024-03-24 03:12 1.0M
[   ]ocaml-re-1.11.0-r1.apk2024-03-24 03:12 545K
[   ]ocaml-randomconv-dev-0.1.3-r2.apk2024-03-24 03:12 18K
[   ]ocaml-randomconv-0.1.3-r2.apk2024-03-24 03:12 14K
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-03-24 03:12 3.4K
[   ]ocaml-qtest-2.11.2-r3.apk2024-03-24 03:12 351K
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-03-24 03:12 1.4M
[   ]ocaml-qcheck-0.18.1-r3.apk2024-03-24 03:12 681K
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-03-24 03:12 96K
[   ]ocaml-ptmap-2.0.5-r3.apk2024-03-24 03:12 55K
[   ]ocaml-ptime-dev-1.0.0-r2.apk2024-03-24 03:12 78K
[   ]ocaml-ptime-1.0.0-r2.apk2024-03-24 03:12 116K
[   ]ocaml-psq-dev-0.2.0-r2.apk2024-03-24 03:12 177K
[   ]ocaml-psq-0.2.0-r2.apk2024-03-24 03:12 99K
[   ]ocaml-ppxlib-dev-0.32.0-r0.apk2024-03-24 03:12 16M
[   ]ocaml-ppxlib-0.32.0-r0.apk2024-03-24 03:12 13M
[   ]ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk2024-03-24 03:12 1.2M
[   ]ocaml-ppx_sexp_conv-0.16.0-r0.apk2024-03-24 03:12 591K
[   ]ocaml-ppx_deriving-dev-5.3.0-r0.apk2024-03-24 03:12 1.3M
[   ]ocaml-ppx_deriving-5.3.0-r0.apk2024-03-24 03:12 5.3M
[   ]ocaml-ppx_derivers-dev-1.2.1-r2.apk2024-03-24 03:12 11K
[   ]ocaml-ppx_derivers-1.2.1-r2.apk2024-03-24 03:12 10K
[   ]ocaml-pcre-dev-7.5.0-r4.apk2024-03-24 03:12 309K
[   ]ocaml-pcre-7.5.0-r4.apk2024-03-24 03:12 154K
[   ]ocaml-pbkdf-dev-1.2.0-r2.apk2024-03-24 03:12 26K
[   ]ocaml-pbkdf-1.2.0-r2.apk2024-03-24 03:12 18K
[   ]ocaml-parsexp-dev-0.16.0-r0.apk2024-03-24 03:12 966K
[   ]ocaml-parsexp-0.16.0-r0.apk2024-03-24 03:12 384K
[   ]ocaml-ounit-dev-2.2.7-r3.apk2024-03-24 03:12 1.1M
[   ]ocaml-ounit-2.2.7-r3.apk2024-03-24 03:12 547K
[   ]ocaml-otr-dev-0.3.10-r2.apk2024-03-24 03:12 540K
[   ]ocaml-otr-0.3.10-r2.apk2024-03-24 03:12 287K
[   ]ocaml-omod-dev-0.0.3-r3.apk2024-03-24 03:12 228K
[   ]ocaml-omod-bin-0.0.3-r3.apk2024-03-24 03:12 2.8M
[   ]ocaml-omod-0.0.3-r3.apk2024-03-24 03:12 336K
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-03-24 03:12 7.8K
[   ]ocaml-omake-0.10.6-r0.apk2024-03-24 03:12 1.7M
[   ]ocaml-ocplib-endian-dev-1.2-r3.apk2024-03-24 03:12 267K
[   ]ocaml-ocplib-endian-1.2-r3.apk2024-03-24 03:12 157K
[   ]ocaml-ocp-index-dev-1.3.6-r0.apk2024-03-24 03:12 529K
[   ]ocaml-ocp-index-1.3.6-r0.apk2024-03-24 03:12 485K
[   ]ocaml-ocp-indent-dev-1.8.2-r2.apk2024-03-24 03:12 638K
[   ]ocaml-ocp-indent-1.8.2-r2.apk2024-03-24 03:12 567K
[   ]ocaml-ocf-dev-0.8.0-r3.apk2024-03-24 03:12 330K
[   ]ocaml-ocf-0.8.0-r3.apk2024-03-24 03:12 8.4M
[   ]ocaml-obuild-0.1.11-r0.apk2024-03-24 03:12 1.1M
[   ]ocaml-num-dev-1.4-r3.apk2024-03-24 03:12 102K
[   ]ocaml-num-1.4-r3.apk2024-03-24 03:12 259K
[   ]ocaml-notty-dev-0.2.3-r0.apk2024-03-24 03:12 628K
[   ]ocaml-notty-0.2.3-r0.apk2024-03-24 03:12 321K
[   ]ocaml-mtime-dev-1.4.0-r2.apk2024-03-24 03:12 50K
[   ]ocaml-mtime-1.4.0-r2.apk2024-03-24 03:12 52K
[   ]ocaml-mmap-dev-1.2.0-r3.apk2024-03-24 03:12 6.4K
[   ]ocaml-mmap-1.2.0-r3.apk2024-03-24 03:12 7.6K
[   ]ocaml-mirage-time-dev-3.0.0-r4.apk2024-03-24 03:12 8.1K
[   ]ocaml-mirage-time-3.0.0-r4.apk2024-03-24 03:12 14K
[   ]ocaml-mirage-random-dev-3.0.0-r3.apk2024-03-24 03:12 5.2K
[   ]ocaml-mirage-random-3.0.0-r3.apk2024-03-24 03:12 7.5K
[   ]ocaml-mirage-profile-dev-0.9.1-r3.apk2024-03-24 03:12 32K
[   ]ocaml-mirage-profile-0.9.1-r3.apk2024-03-24 03:12 27K
[   ]ocaml-mirage-net-dev-4.0.0-r3.apk2024-03-24 03:12 20K
[   ]ocaml-mirage-net-4.0.0-r3.apk2024-03-24 03:12 13K
[   ]ocaml-mirage-kv-dev-4.0.1-r3.apk2024-03-24 03:12 44K
[   ]ocaml-mirage-kv-4.0.1-r3.apk2024-03-24 03:12 21K
[   ]ocaml-mirage-flow-dev-3.0.0-r3.apk2024-03-24 03:12 200K
[   ]ocaml-mirage-flow-3.0.0-r3.apk2024-03-24 03:12 114K
[   ]ocaml-mirage-crypto-dev-0.10.6-r3.apk2024-03-24 03:12 2.9M
[   ]ocaml-mirage-crypto-0.10.6-r3.apk2024-03-24 03:12 1.1M
[   ]ocaml-mirage-clock-dev-4.2.0-r2.apk2024-03-24 03:12 35K
[   ]ocaml-mirage-clock-4.2.0-r2.apk2024-03-24 03:12 33K
[   ]ocaml-mikmatch-1.0.9-r2.apk2024-03-24 03:12 152K
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-03-24 03:12 302K
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-03-24 03:12 187K
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-03-24 03:12 99K
[   ]ocaml-mew-0.1.0-r3.apk2024-03-24 03:12 71K
[   ]ocaml-metrics-dev-0.4.0-r3.apk2024-03-24 03:12 463K
[   ]ocaml-metrics-0.4.0-r3.apk2024-03-24 03:12 253K
[   ]ocaml-merlin-extend-dev-0.6.1-r2.apk2024-03-24 03:12 94K
[   ]ocaml-merlin-extend-0.6.1-r2.apk2024-03-24 03:12 51K
[   ]ocaml-menhir-doc-20220210-r2.apk2024-03-24 03:12 3.7K
[   ]ocaml-menhir-dev-20220210-r2.apk2024-03-24 03:12 913K
[   ]ocaml-menhir-20220210-r2.apk2024-03-24 03:12 2.0M
[   ]ocaml-markup-dev-1.0.3-r3.apk2024-03-24 03:12 2.2M
[   ]ocaml-markup-1.0.3-r3.apk2024-03-24 03:12 1.0M
[   ]ocaml-lwt_react-5.7.0-r0.apk2024-03-24 03:12 122K
[   ]ocaml-lwt_ppx-5.7.0-r0.apk2024-03-24 03:12 4.0M
[   ]ocaml-lwt_log-dev-1.1.1-r5.apk2024-03-24 03:12 263K
[   ]ocaml-lwt_log-1.1.1-r5.apk2024-03-24 03:12 134K
[   ]ocaml-lwt-dllist-dev-1.0.1-r3.apk2024-03-24 03:12 41K
[   ]ocaml-lwt-dllist-1.0.1-r3.apk2024-03-24 03:12 23K
[   ]ocaml-lwt-dev-5.7.0-r0.apk2024-03-24 03:12 3.2M
[   ]ocaml-lwt-5.7.0-r0.apk2024-03-24 03:12 1.2M
[   ]ocaml-lwd-dev-0.3-r0.apk2024-03-24 03:12 1.0M
[   ]ocaml-lwd-0.3-r0.apk2024-03-24 03:12 499K
[   ]ocaml-lru-dev-0.3.0-r2.apk2024-03-24 03:12 167K
[   ]ocaml-lru-0.3.0-r2.apk2024-03-24 03:12 77K
[   ]ocaml-logs-dev-0.7.0-r3.apk2024-03-24 03:12 94K
[   ]ocaml-logs-0.7.0-r3.apk2024-03-24 03:12 125K
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-03-24 03:12 13K
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-03-24 03:12 108K
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-03-24 03:12 177K
[   ]ocaml-lambdasoup-dev-0.7.3-r2.apk2024-03-24 03:12 356K
[   ]ocaml-lambdasoup-0.7.3-r2.apk2024-03-24 03:12 192K
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-03-24 03:12 8.5K
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-03-24 03:12 3.9M
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-03-24 03:12 3.6M
[   ]ocaml-labltk-dev-8.06.12-r2.apk2024-03-24 03:12 1.6M
[   ]ocaml-labltk-8.06.12-r2.apk2024-03-24 03:12 2.9M
[   ]ocaml-lablgtk3-extras-dev-3.0.1-r2.apk2024-03-24 03:12 1.6M
[   ]ocaml-lablgtk3-extras-3.0.1-r2.apk2024-03-24 03:12 899K
[   ]ocaml-lablgtk3-dev-3.1.2-r3.apk2024-03-24 03:12 14M
[   ]ocaml-lablgtk3-3.1.2-r3.apk2024-03-24 03:12 8.0M
[   ]ocaml-ipaddr-dev-5.3.1-r2.apk2024-03-24 03:12 574K
[   ]ocaml-ipaddr-5.3.1-r2.apk2024-03-24 03:12 327K
[   ]ocaml-integers-dev-0.7.0-r2.apk2024-03-24 03:12 282K
[   ]ocaml-integers-0.7.0-r2.apk2024-03-24 03:12 130K
[   ]ocaml-hkdf-dev-1.0.4-r2.apk2024-03-24 03:12 18K
[   ]ocaml-hkdf-1.0.4-r2.apk2024-03-24 03:12 15K
[   ]ocaml-hex-dev-1.5.0-r2.apk2024-03-24 03:12 55K
[   ]ocaml-hex-1.5.0-r2.apk2024-03-24 03:12 31K
[   ]ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2024-03-24 03:12 2.6M
[   ]ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk2024-03-24 03:12 61K
[   ]ocaml-happy-eyeballs-lwt-0.3.0-r3.apk2024-03-24 03:12 43K
[   ]ocaml-happy-eyeballs-dev-0.3.0-r2.apk2024-03-24 03:12 152K
[   ]ocaml-happy-eyeballs-0.3.0-r2.apk2024-03-24 03:12 85K
[   ]ocaml-gmap-dev-0.3.0-r2.apk2024-03-24 03:12 76K
[   ]ocaml-gmap-0.3.0-r2.apk2024-03-24 03:12 37K
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-03-24 03:12 19K
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-03-24 03:12 746K
[   ]ocaml-gettext-0.4.2-r3.apk2024-03-24 03:12 3.6M
[   ]ocaml-gen-dev-1.1-r1.apk2024-03-24 03:12 626K
[   ]ocaml-gen-1.1-r1.apk2024-03-24 03:12 327K
[   ]ocaml-fpath-dev-0.7.3-r2.apk2024-03-24 03:12 108K
[   ]ocaml-fpath-0.7.3-r2.apk2024-03-24 03:12 141K
[   ]ocaml-fmt-dev-0.9.0-r2.apk2024-03-24 03:12 137K
[   ]ocaml-fmt-0.9.0-r2.apk2024-03-24 03:12 197K
[   ]ocaml-fix-dev-20220121-r2.apk2024-03-24 03:12 438K
[   ]ocaml-fix-20220121-r2.apk2024-03-24 03:12 170K
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-03-24 03:12 16K
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-03-24 03:12 614K
[   ]ocaml-fileutils-0.6.4-r2.apk2024-03-24 03:12 319K
[   ]ocaml-extlib-doc-1.7.9-r2.apk2024-03-24 03:12 10K
[   ]ocaml-extlib-dev-1.7.9-r2.apk2024-03-24 03:12 1.3M
[   ]ocaml-extlib-1.7.9-r2.apk2024-03-24 03:12 650K
[   ]ocaml-ethernet-dev-3.0.0-r3.apk2024-03-24 03:12 85K
[   ]ocaml-ethernet-3.0.0-r3.apk2024-03-24 03:12 45K
[   ]ocaml-erm_xml-dev-0_git20211229-r2.apk2024-03-24 03:12 810K
[   ]ocaml-erm_xml-0_git20211229-r2.apk2024-03-24 03:12 587K
[   ]ocaml-eqaf-dev-0.8-r2.apk2024-03-24 03:12 124K
[   ]ocaml-eqaf-0.8-r2.apk2024-03-24 03:12 72K
[   ]ocaml-easy-format-dev-1.3.4-r1.apk2024-03-24 03:12 114K
[   ]ocaml-easy-format-1.3.4-r1.apk2024-03-24 03:12 62K
[   ]ocaml-duration-dev-0.2.0-r2.apk2024-03-24 03:12 45K
[   ]ocaml-duration-0.2.0-r2.apk2024-03-24 03:12 27K
[   ]ocaml-down-dev-0.1.0-r3.apk2024-03-24 03:12 368K
[   ]ocaml-down-0.1.0-r3.apk2024-03-24 03:12 653K
[   ]ocaml-domain-name-dev-0.4.0-r2.apk2024-03-24 03:12 144K
[   ]ocaml-domain-name-0.4.0-r2.apk2024-03-24 03:12 75K
[   ]ocaml-dns-tools-6.2.2-r3.apk2024-03-24 03:12 11M
[   ]ocaml-dns-dev-6.2.2-r3.apk2024-03-24 03:12 4.2M
[   ]ocaml-dns-6.2.2-r3.apk2024-03-24 03:12 2.3M
[   ]ocaml-curses-dev-1.0.10-r2.apk2024-03-24 03:12 322K
[   ]ocaml-curses-1.0.10-r2.apk2024-03-24 03:12 143K
[   ]ocaml-ctypes-dev-0.20.1-r2.apk2024-03-24 03:12 902K
[   ]ocaml-ctypes-0.20.1-r2.apk2024-03-24 03:12 896K
[   ]ocaml-cstruct-dev-6.1.0-r3.apk2024-03-24 03:12 722K
[   ]ocaml-cstruct-6.1.0-r3.apk2024-03-24 03:12 4.4M
[   ]ocaml-containers-top-3.7-r2.apk2024-03-24 03:12 25K
[   ]ocaml-containers-dev-3.7-r2.apk2024-03-24 03:12 6.9M
[   ]ocaml-containers-3.7-r2.apk2024-03-24 03:11 3.6M
[   ]ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk2024-03-24 03:11 104K
[   ]ocaml-compiler-libs-repackaged-0.12.4-r3.apk2024-03-24 03:11 83K
[   ]ocaml-cmdliner-doc-1.1.1-r3.apk2024-03-24 03:11 20K
[   ]ocaml-cmdliner-dev-1.1.1-r3.apk2024-03-24 03:11 264K
[   ]ocaml-cmdliner-1.1.1-r3.apk2024-03-24 03:11 475K
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-03-24 03:11 196K
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-03-24 03:11 106K
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-03-24 03:11 2.5M
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-03-24 03:11 5.1M
[   ]ocaml-camomile-1.0.2-r3.apk2024-03-24 03:11 1.3M
[   ]ocaml-camlzip-dev-1.11-r2.apk2024-03-24 03:11 224K
[   ]ocaml-camlzip-1.11-r2.apk2024-03-24 03:11 117K
[   ]ocaml-calendar-doc-2.04-r4.apk2024-03-24 03:11 12K
[   ]ocaml-calendar-dev-2.04-r4.apk2024-03-24 03:11 192K
[   ]ocaml-calendar-2.04-r4.apk2024-03-24 03:11 225K
[   ]ocaml-cairo2-dev-0.6.2-r2.apk2024-03-24 03:11 450K
[   ]ocaml-cairo2-0.6.2-r2.apk2024-03-24 03:11 170K
[   ]ocaml-ca-certs-nss-tools-3.89.1-r1.apk2024-03-24 03:11 1.6M
[   ]ocaml-ca-certs-nss-dev-3.89.1-r1.apk2024-03-24 03:11 448K
[   ]ocaml-ca-certs-nss-3.89.1-r1.apk2024-03-24 03:11 395K
[   ]ocaml-ca-certs-dev-0.2.2-r2.apk2024-03-24 03:11 41K
[   ]ocaml-ca-certs-0.2.2-r2.apk2024-03-24 03:11 32K
[   ]ocaml-bos-dev-0.2.1-r2.apk2024-03-24 03:11 374K
[   ]ocaml-bos-0.2.1-r2.apk2024-03-24 03:11 470K
[   ]ocaml-bitstring-dev-4.1.0-r3.apk2024-03-24 03:11 585K
[   ]ocaml-bitstring-4.1.0-r3.apk2024-03-24 03:11 4.3M
[   ]ocaml-bisect_ppx-dev-2.8.3-r0.apk2024-03-24 03:11 563K
[   ]ocaml-bisect_ppx-2.8.3-r0.apk2024-03-24 03:11 4.9M
[   ]ocaml-biniou-dev-1.2.1-r5.apk2024-03-24 03:11 376K
[   ]ocaml-biniou-1.2.1-r5.apk2024-03-24 03:11 595K
[   ]ocaml-bigstringaf-dev-0.9.0-r2.apk2024-03-24 03:11 92K
[   ]ocaml-bigstringaf-0.9.0-r2.apk2024-03-24 03:11 48K
[   ]ocaml-bigarray-compat-dev-1.1.0-r2.apk2024-03-24 03:11 12K
[   ]ocaml-bigarray-compat-1.1.0-r2.apk2024-03-24 03:11 13K
[   ]ocaml-base64-dev-3.5.0-r2.apk2024-03-24 03:11 181K
[   ]ocaml-base64-3.5.0-r2.apk2024-03-24 03:11 93K
[   ]ocaml-base-dev-0.16.3-r0.apk2024-03-24 03:11 9.8M
[   ]ocaml-base-0.16.3-r0.apk2024-03-24 03:11 4.6M
[   ]ocaml-astring-dev-0.8.5-r2.apk2024-03-24 03:11 196K
[   ]ocaml-astring-0.8.5-r2.apk2024-03-24 03:11 291K
[   ]ocaml-asn1-combinators-dev-0.2.6-r2.apk2024-03-24 03:11 591K
[   ]ocaml-asn1-combinators-0.2.6-r2.apk2024-03-24 03:11 319K
[   ]ocaml-arp-dev-3.0.0-r3.apk2024-03-24 03:11 163K
[   ]ocaml-arp-3.0.0-r3.apk2024-03-24 03:11 88K
[   ]ocaml-angstrom-dev-0.16.0-r0.apk2024-03-24 03:11 352K
[   ]ocaml-angstrom-0.16.0-r0.apk2024-03-24 03:11 180K
[   ]merlin-vim-4.14-r0.apk2024-03-24 03:11 28K
[   ]merlin-emacs-4.14-r0.apk2024-03-24 03:11 28K
[   ]merlin-dev-4.14-r0.apk2024-03-24 03:11 23M
[   ]merlin-4.14-r0.apk2024-03-24 03:11 15M
[   ]dune-deps-1.3.0-r2.apk2024-03-24 03:11 833K
[   ]endeavour-lang-43.0-r1.apk2024-03-23 20:39 204K
[   ]endeavour-doc-43.0-r1.apk2024-03-23 20:39 75K
[   ]endeavour-dev-43.0-r1.apk2024-03-23 20:39 46K
[   ]endeavour-43.0-r1.apk2024-03-23 20:39 200K
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-03-23 20:39 68K
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-03-23 20:39 79K
[   ]atlantik-3.5.10_git20240323-r0.apk2024-03-23 20:39 395K
[   ]uxn-doc-1.0-r0.apk2024-03-23 16:22 3.9K
[   ]uxn-1.0-r0.apk2024-03-23 16:22 47K
[   ]milkytracker-doc-1.04.00-r2.apk2024-03-23 14:16 50K
[   ]milkytracker-1.04.00-r2.apk2024-03-23 14:16 1.0M
[   ]krita-plugin-gmic-3.2.4.1-r3.apk2024-03-23 14:04 2.8M
[   ]tree-sitter-markdown-doc-0.2.3-r0.apk2024-03-22 23:10 2.0K
[   ]tree-sitter-markdown-0.2.3-r0.apk2024-03-22 23:10 129K
[   ]pinentry-bemenu-0.13.1-r0.apk2024-03-22 15:55 8.5K
[   ]copyq-doc-8.0.0-r0.apk2024-03-22 08:47 3.2K
[   ]copyq-bash-completion-8.0.0-r0.apk2024-03-22 08:47 2.0K
[   ]copyq-8.0.0-r0.apk2024-03-22 08:47 2.5M
[   ]baikal_sqlite-0.9.5-r0.apk2024-03-20 22:46 1.4K
[   ]baikal-0.9.5-r0.apk2024-03-20 22:46 1.3M
[   ]eiwd-openrc-2.16-r0.apk2024-03-20 21:07 1.6K
[   ]eiwd-doc-2.16-r0.apk2024-03-20 21:07 20K
[   ]eiwd-2.16-r0.apk2024-03-20 21:07 862K
[   ]freshrss-themes-1.23.1-r1.apk2024-03-18 07:44 1.5M
[   ]freshrss-sqlite-1.23.1-r1.apk2024-03-18 07:44 1.2K
[   ]freshrss-pgsql-1.23.1-r1.apk2024-03-18 07:44 1.2K
[   ]freshrss-openrc-1.23.1-r1.apk2024-03-18 07:44 2.3K
[   ]freshrss-mysql-1.23.1-r1.apk2024-03-18 07:44 1.2K
[   ]freshrss-lang-1.23.1-r1.apk2024-03-18 07:44 379K
[   ]freshrss-doc-1.23.1-r1.apk2024-03-18 07:44 751K
[   ]freshrss-1.23.1-r1.apk2024-03-18 07:44 1.5M
[   ]lynis-doc-3.1.1-r0.apk2024-03-18 02:01 49K
[   ]lynis-bash-completion-3.1.1-r0.apk2024-03-18 02:01 2.7K
[   ]lynis-3.1.1-r0.apk2024-03-18 02:01 271K
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-03-18 02:01 1.7K
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-03-18 02:01 1.6K
[   ]hwatch-doc-0.3.11-r0.apk2024-03-18 02:01 2.8K
[   ]hwatch-0.3.11-r0.apk2024-03-18 02:01 1.0M
[   ]ssh-tools-1.8-r0.apk2024-03-16 22:30 25K
[   ]typst-lsp-0.13.0-r0.apk2024-03-16 18:07 13M
[   ]aravis-viewer-lang-0.8.31-r0.apk2024-03-16 14:29 16K
[   ]aravis-viewer-0.8.31-r0.apk2024-03-16 14:29 68K
[   ]aravis-libs-0.8.31-r0.apk2024-03-16 14:29 187K
[   ]aravis-dev-0.8.31-r0.apk2024-03-16 14:29 34K
[   ]aravis-0.8.31-r0.apk2024-03-16 14:29 49K
[   ]autorandr-udev-1.15-r0.apk2024-03-16 13:36 1.5K
[   ]autorandr-launcher-1.15-r0.apk2024-03-16 13:36 5.5K
[   ]autorandr-doc-1.15-r0.apk2024-03-16 13:36 3.5K
[   ]autorandr-bash-completion-1.15-r0.apk2024-03-16 13:36 2.1K
[   ]autorandr-1.15-r0.apk2024-03-16 13:36 20K
[   ]oil-doc-0.21.0-r0.apk2024-03-16 00:09 6.7K
[   ]oil-0.21.0-r0.apk2024-03-16 00:09 1.5M
[   ]wayfire-plugins-extra-0.8.1-r0.apk2024-03-15 23:53 606K
[   ]mediascanner2-0.115-r0.apk2024-03-15 18:51 281K
[   ]lomiri-sounds-22.02-r0.apk2024-03-15 18:51 18M
[   ]lomiri-indicator-location-lang-0_git20231227-r0.apk2024-03-15 18:51 33K
[   ]lomiri-indicator-location-0_git20231227-r0.apk2024-03-15 18:51 31K
[   ]lomiri-filemanager-app-lang-1.0.4-r0.apk2024-03-15 18:51 174K
[   ]lomiri-filemanager-app-1.0.4-r0.apk2024-03-15 18:51 342K
[   ]lomiri-calculator-app-lang-4.0.2-r0.apk2024-03-15 18:51 37K
[   ]lomiri-calculator-app-4.0.2-r0.apk2024-03-15 18:51 375K
[   ]cocogitto-zsh-completion-6.1.0-r0.apk2024-03-15 13:15 2.9K
[   ]cocogitto-fish-completion-6.1.0-r0.apk2024-03-15 13:15 3.1K
[   ]cocogitto-doc-6.1.0-r0.apk2024-03-15 13:15 35K
[   ]cocogitto-bash-completion-6.1.0-r0.apk2024-03-15 13:15 2.9K
[   ]cocogitto-6.1.0-r0.apk2024-03-15 13:15 2.1M
[   ]xdg-desktop-portal-hyprland-doc-1.3.1-r2.apk2024-03-15 07:19 2.2K
[   ]xdg-desktop-portal-hyprland-1.3.1-r2.apk2024-03-15 07:19 259K
[   ]wf-shell-doc-0.8.1-r0.apk2024-03-15 07:19 2.0K
[   ]wf-shell-dev-0.8.1-r0.apk2024-03-15 07:19 1.5K
[   ]wf-shell-0.8.1-r0.apk2024-03-15 07:19 6.1M
[   ]wayfire-doc-0.8.1-r0.apk2024-03-15 07:19 2.5K
[   ]wayfire-dev-0.8.1-r0.apk2024-03-15 07:19 130K
[   ]wayfire-0.8.1-r0.apk2024-03-15 07:19 2.4M
[   ]minisatip-openrc-1.3.4-r0.apk2024-03-15 07:19 1.6K
[   ]minisatip-1.3.4-r0.apk2024-03-15 07:19 308K
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-03-14 23:55 197K
[   ]youtube-viewer-gtk-3.11.1-r0.apk2024-03-14 09:40 171K
[   ]youtube-viewer-doc-3.11.1-r0.apk2024-03-14 09:40 41K
[   ]youtube-viewer-3.11.1-r0.apk2024-03-14 09:40 83K
[   ]py3-tpm2-pytss-pyc-2.2.1-r0.apk2024-03-14 09:39 236K
[   ]py3-tpm2-pytss-2.2.1-r0.apk2024-03-14 09:39 273K
[   ]perl-net-irr-doc-0.10-r0.apk2024-03-14 09:38 4.9K
[   ]perl-net-irr-0.10-r0.apk2024-03-14 09:38 5.2K
[   ]espeakup-openrc-0.90-r2.apk2024-03-14 09:36 1.6K
[   ]espeakup-0.90-r2.apk2024-03-14 09:36 12K
[   ]dwl-doc-0.5-r1.apk2024-03-14 09:36 2.9K
[   ]dwl-0.5-r1.apk2024-03-14 09:36 27K
[   ]cimg-3.3.5-r0.apk2024-03-14 09:35 824K
[   ]finger-doc-0.5-r0.apk2024-03-09 10:11 3.5K
[   ]finger-0.5-r0.apk2024-03-09 10:11 8.7K
[   ]fnf-doc-0.1-r0.apk2024-03-09 08:45 4.3K
[   ]fnf-0.1-r0.apk2024-03-09 08:45 20K
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-03-09 07:50 4.2K
[   ]perl-test2-tools-explain-0.02-r0.apk2024-03-09 07:50 3.6K
[   ]perl-test-files-doc-0.26-r0.apk2024-03-09 07:50 14K
[   ]perl-test-files-0.26-r0.apk2024-03-09 07:50 6.5K
[   ]perl-test-expander-doc-2.5.0-r0.apk2024-03-09 07:50 20K
[   ]perl-test-expander-2.5.0-r0.apk2024-03-09 07:50 6.9K
[   ]lomiri-gallery-app-lang-3.0.2-r0.apk2024-03-08 22:43 108K
[   ]lomiri-gallery-app-3.0.2-r0.apk2024-03-08 22:43 3.7M
[   ]dnstap-ldns-0.2.1-r0.apk2024-03-08 16:55 11K
[   ]mpdris2-rs-doc-0.2.3-r0.apk2024-03-05 22:05 13K
[   ]mpdris2-rs-0.2.3-r0.apk2024-03-05 22:05 801K
[   ]repo-doc-2.42-r0.apk2024-03-05 00:18 40K
[   ]repo-2.42-r0.apk2024-03-05 00:18 17K
[   ]hctl-0.2.6-r0.apk2024-03-04 23:31 1.3M
[   ]xwaylandvideobridge-lang-0.4.0-r1.apk2024-03-04 00:25 11K
[   ]xwaylandvideobridge-0.4.0-r1.apk2024-03-04 00:25 50K
[   ]php83-pecl-eio-3.1.3-r0.apk2024-03-02 23:38 29K
[   ]boxed-cpp-doc-1.4.0-r0.apk2024-03-02 16:02 5.3K
[   ]boxed-cpp-dev-1.4.0-r0.apk2024-03-02 16:02 6.1K
[   ]boxed-cpp-1.4.0-r0.apk2024-03-02 16:02 1.2K
[   ]dropwatch-doc-1.5.4-r4.apk2024-03-01 16:19 3.5K
[   ]dropwatch-1.5.4-r4.apk2024-03-01 16:19 18K
[   ]php83-pecl-excimer-1.2.1-r0.apk2024-02-29 13:20 21K
[   ]php82-pecl-excimer-1.2.1-r0.apk2024-02-29 13:20 21K
[   ]bordeaux-openrc-0.8.1-r0.apk2024-02-28 01:46 1.9K
[   ]bordeaux-generic-0.8.1-r0.apk2024-02-28 01:46 53K
[   ]bordeaux-en_voices-0.8.1-r0.apk2024-02-28 01:46 687K
[   ]bordeaux-doc-0.8.1-r0.apk2024-02-28 01:46 27K
[   ]bordeaux-dev-0.8.1-r0.apk2024-02-28 01:46 42K
[   ]bordeaux-coventry-0.8.1-r0.apk2024-02-28 01:46 63K
[   ]bordeaux-0.8.1-r0.apk2024-02-28 01:46 179K
[   ]bananui-shell-0.2.0-r0.apk2024-02-28 01:46 109K
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-02-25 18:21 5.0K
[   ]perl-text-table-sprintf-0.008-r0.apk2024-02-25 18:21 5.1K
[   ]perl-text-table-any-doc-0.117-r0.apk2024-02-25 18:21 6.4K
[   ]perl-text-table-any-0.117-r0.apk2024-02-25 18:21 7.8K
[   ]coventry-openrc-0.8.1-r0.apk2024-02-24 16:18 1.6K
[   ]coventry-doc-0.8.1-r0.apk2024-02-24 16:18 36K
[   ]coventry-0.8.1-r0.apk2024-02-24 16:18 319K
[   ]parcellite-lang-1.2.4.0-r0.apk2024-02-24 14:44 49K
[   ]parcellite-doc-1.2.4.0-r0.apk2024-02-24 14:44 25K
[   ]parcellite-1.2.4.0-r0.apk2024-02-24 14:44 227K
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-02-24 13:50 6.7K
[   ]perl-storable-improved-0.1.3-r0.apk2024-02-24 13:50 6.4K
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-02-24 13:50 3.8K
[   ]perl-html-selector-xpath-0.28-r0.apk2024-02-24 13:50 6.0K
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-02-24 13:50 6.4K
[   ]perl-devel-confess-0.009004-r0.apk2024-02-24 13:50 11K
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.1-r0.apk2024-02-24 13:50 15K
[   ]perl-datetime-timezone-catalog-extend-0.3.1-r0.apk2024-02-24 13:50 12K
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-02-24 13:50 7.4K
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-02-24 13:50 2.3K
[   ]perl-css-object-doc-0.1.6-r0.apk2024-02-24 13:50 32K
[   ]perl-css-object-0.1.6-r0.apk2024-02-24 13:50 23K
[   ]nvtop-doc-3.1.0-r0.apk2024-02-24 13:42 3.3K
[   ]nvtop-3.1.0-r0.apk2024-02-24 13:42 59K
[   ]nb-zsh-completion-7.12.1-r0.apk2024-02-24 00:36 2.7K
[   ]nb-full-7.12.1-r0.apk2024-02-24 00:36 1.2K
[   ]nb-fish-completion-7.12.1-r0.apk2024-02-24 00:36 2.5K
[   ]nb-doc-7.12.1-r0.apk2024-02-24 00:36 76K
[   ]nb-bash-completion-7.12.1-r0.apk2024-02-24 00:36 2.7K
[   ]nb-7.12.1-r0.apk2024-02-24 00:36 149K
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-02-23 20:45 5.2K
[   ]innernet-openrc-1.6.1-r0.apk2024-02-23 20:45 2.0K
[   ]innernet-fish-completion-1.6.1-r0.apk2024-02-23 20:45 4.3K
[   ]innernet-doc-1.6.1-r0.apk2024-02-23 20:45 8.8K
[   ]innernet-bash-completion-1.6.1-r0.apk2024-02-23 20:45 3.6K
[   ]innernet-1.6.1-r0.apk2024-02-23 20:45 2.9M
[   ]font-tinos-0_git20210228-r0.apk2024-02-23 03:13 198K
[   ]font-stix-ttf-2.13-r0.apk2024-02-23 03:13 430K
[   ]font-stix-otf-2.13-r0.apk2024-02-23 03:13 2.0M
[   ]font-cousine-0_git20210228-r0.apk2024-02-23 03:13 110K
[   ]boinc-screensaver-7.24.3-r0.apk2024-02-22 02:03 145K
[   ]boinc-libs-7.24.3-r0.apk2024-02-22 02:03 230K
[   ]boinc-lang-7.24.3-r0.apk2024-02-22 02:03 877K
[   ]boinc-gui-7.24.3-r0.apk2024-02-22 02:03 1.0M
[   ]boinc-doc-7.24.3-r0.apk2024-02-22 02:03 7.7K
[   ]boinc-dev-7.24.3-r0.apk2024-02-22 02:03 646K
[   ]boinc-7.24.3-r0.apk2024-02-22 02:03 1.6M
[   ]perl-extutils-makemaker-7.70-r2.apk2024-02-21 08:50 174K
[   ]genact-1.4.2-r0.apk2024-02-20 22:51 1.4M
[   ]nuklear-doc-4.12.0-r0.apk2024-02-18 02:31 42K
[   ]nuklear-4.12.0-r0.apk2024-02-18 02:31 220K
[   ]cvs-fast-export-tools-1.65-r0.apk2024-02-16 20:34 8.4K
[   ]cvs-fast-export-doc-1.65-r0.apk2024-02-16 20:34 17K
[   ]cvs-fast-export-1.65-r0.apk2024-02-16 20:34 50K
[   ]getssl-2.48-r0.apk2024-02-16 14:59 82K
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-02-14 00:32 4.4K
[   ]bgpq4-doc-1.12-r0.apk2024-02-13 23:39 6.1K
[   ]bgpq4-1.12-r0.apk2024-02-13 23:39 34K
[   ]laze-zsh-completion-0.1.21-r0.apk2024-02-13 23:23 2.8K
[   ]laze-fish-completion-0.1.21-r0.apk2024-02-13 23:23 2.3K
[   ]laze-doc-0.1.21-r0.apk2024-02-13 23:23 3.1K
[   ]laze-bash-completion-0.1.21-r0.apk2024-02-13 23:23 2.4K
[   ]laze-0.1.21-r0.apk2024-02-13 23:23 1.0M
[   ]moderncli-doc-0.8.1-r0.apk2024-02-13 22:03 4.9K
[   ]moderncli-dev-0.8.1-r0.apk2024-02-13 22:03 15K
[   ]moderncli-0.8.1-r0.apk2024-02-13 22:03 1.2K
[   ]doasedit-1.0.7-r0.apk2024-02-13 18:44 3.3K
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-02-13 00:12 92K
[   ]armagetronad-0.2.9.1.1-r0.apk2024-02-13 00:12 1.6M
[   ]fulcrum-doc-1.9.8-r0.apk2024-02-12 23:45 21K
[   ]fulcrum-admin-1.9.8-r0.apk2024-02-12 23:45 7.7K
[   ]fulcrum-1.9.8-r0.apk2024-02-12 23:45 886K
[   ]theforceengine-doc-1.09.540-r1.apk2024-02-12 17:05 6.3M
[   ]theforceengine-1.09.540-r1.apk2024-02-12 17:05 7.0M
[   ]sauerbraten-2020.12.29-r3.apk2024-02-12 17:05 935M
[   ]htmldoc-doc-1.9.18-r0.apk2024-02-12 11:51 98K
[   ]htmldoc-1.9.18-r0.apk2024-02-12 11:51 2.3M
[   ]warpinator-nemo-1.8.3-r0.apk2024-02-10 09:41 3.4K
[   ]warpinator-lang-1.8.3-r0.apk2024-02-10 09:41 174K
[   ]warpinator-1.8.3-r0.apk2024-02-10 09:41 202K
[   ]tui-journal-doc-0.8.0-r0.apk2024-02-10 03:51 6.4K
[   ]tui-journal-0.8.0-r0.apk2024-02-10 03:51 2.0M
[   ]gsimplecal-doc-2.5.1-r0.apk2024-02-10 02:12 5.5K
[   ]gsimplecal-2.5.1-r0.apk2024-02-10 02:12 17K
[   ]perl-sort-versions-doc-1.62-r0.apk2024-02-10 02:02 3.9K
[   ]perl-sort-versions-1.62-r0.apk2024-02-10 02:02 3.5K
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-02-10 02:02 6.5K
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-02-10 02:02 9.9K
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-02-10 02:02 6.3K
[   ]perl-minion-backend-redis-0.003-r0.apk2024-02-10 02:02 10K
[   ]openfortivpn-doc-1.21.0-r0.apk2024-02-08 20:38 6.1K
[   ]openfortivpn-1.21.0-r0.apk2024-02-08 20:38 42K
[   ]mcman-doc-0.4.4-r0.apk2024-02-08 20:37 13K
[   ]mcman-0.4.4-r0.apk2024-02-08 20:37 2.9M
[   ]libstirshaken-tools-0_git20240208-r2.apk2024-02-08 10:25 170K
[   ]libstirshaken-dev-0_git20240208-r2.apk2024-02-08 10:25 84K
[   ]libstirshaken-0_git20240208-r2.apk2024-02-08 10:25 54K
[   ]numbat-doc-1.9.0-r0.apk2024-02-06 04:37 24K
[   ]numbat-1.9.0-r0.apk2024-02-06 04:37 1.1M
[   ]prettier-doc-3.2.5-r0.apk2024-02-06 00:59 22K
[   ]prettier-3.2.5-r0.apk2024-02-06 00:59 2.0M
[   ]beancount-language-server-1.3.4-r0.apk2024-02-06 00:54 1.3M
[   ]libvmaf-dev-3.0.0-r0.apk2024-02-05 21:24 199K
[   ]libvmaf-3.0.0-r0.apk2024-02-05 21:24 334K
[   ]usbmuxd-doc-1.1.1-r6.apk2024-02-05 20:32 2.7K
[   ]usbmuxd-1.1.1-r6.apk2024-02-05 20:32 35K
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-02-05 17:12 5.2K
[   ]perl-test-class-tiny-0.03-r0.apk2024-02-05 17:12 5.6K
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-02-05 17:12 2.9K
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-02-05 17:12 2.7K
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-02-05 17:12 11K
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-02-05 17:12 2.5K
[   ]perl-net-curl-promiser-0.20-r0.apk2024-02-05 17:12 8.6K
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-02-05 17:10 4.1K
[   ]perl-test-requires-git-1.008-r0.apk2024-02-05 17:10 4.5K
[   ]perl-system-command-doc-1.122-r0.apk2024-02-05 17:10 9.9K
[   ]perl-system-command-1.122-r0.apk2024-02-05 17:10 12K
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-02-05 17:10 4.6K
[   ]perl-git-version-compare-1.005-r0.apk2024-02-05 17:10 5.1K
[   ]perl-git-repository-doc-1.325-r0.apk2024-02-05 17:10 31K
[   ]perl-git-repository-1.325-r0.apk2024-02-05 17:10 16K
[   ]xdg-ninja-0.2.0.2-r0.apk2024-02-05 07:11 70K
[   ]jaq-1.3.0-r0.apk2024-02-05 07:03 1.3M
[   ]tailspin-zsh-completion-3.0.0-r0.apk2024-02-03 23:36 2.2K
[   ]tailspin-fish-completion-3.0.0-r0.apk2024-02-03 23:36 1.8K
[   ]tailspin-doc-3.0.0-r0.apk2024-02-03 23:36 2.4K
[   ]tailspin-bash-completion-3.0.0-r0.apk2024-02-03 23:36 2.0K
[   ]tailspin-3.0.0-r0.apk2024-02-03 23:36 1.2M
[   ]qsynth-doc-0.9.13-r0.apk2024-02-03 21:58 4.1K
[   ]qsynth-0.9.13-r0.apk2024-02-03 21:58 411K
[   ]cava-0.10.1-r0.apk2024-02-03 18:11 42K
[   ]netsurf-framebuffer-doc-3.11-r0.apk2024-02-03 14:34 3.6K
[   ]netsurf-framebuffer-3.11-r0.apk2024-02-03 14:34 3.0M
[   ]netsurf-doc-3.11-r0.apk2024-02-03 14:34 4.1K
[   ]netsurf-3.11-r0.apk2024-02-03 14:34 2.2M
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-02-03 10:53 4.9K
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-02-03 10:53 4.9K
[   ]perl-ffi-c-doc-0.15-r0.apk2024-02-03 10:53 28K
[   ]perl-ffi-c-0.15-r0.apk2024-02-03 10:53 20K
[   ]php83-pecl-vld-0.18.0-r1.apk2024-02-03 00:57 16K
[   ]libucl-doc-0.9.0-r0.apk2024-02-02 22:18 8.6K
[   ]libucl-dev-0.9.0-r0.apk2024-02-02 22:18 87K
[   ]libucl-0.9.0-r0.apk2024-02-02 22:18 56K
[   ]hikari-unlocker-2.3.3-r6.apk2024-02-02 22:18 4.0K
[   ]hikari-doc-2.3.3-r6.apk2024-02-02 22:18 13K
[   ]hikari-2.3.3-r6.apk2024-02-02 22:18 947K
[   ]xmppipe-0.16.0-r1.apk2024-02-01 21:54 17K
[   ]tpm2-tools-doc-5.6-r0.apk2024-02-01 02:07 498K
[   ]tpm2-tools-bash-completion-5.6-r0.apk2024-02-01 02:07 15K
[   ]tpm2-tools-5.6-r0.apk2024-02-01 02:07 232K
[   ]nano-hare-0_git20231021-r0.apk2024-01-31 17:23 1.9K
[   ]postgresql-pg_later-0.0.14-r0.apk2024-01-31 02:39 670K
[   ]buildcache-0.28.9-r0.apk2024-01-30 16:17 898K
[   ]mangr0ve-doc-0.1.2-r0.apk2024-01-29 03:19 14K
[   ]mangr0ve-0.1.2-r0.apk2024-01-29 03:19 2.5K
[   ]nm-tray-lang-0.5.0-r0.apk2024-01-28 23:12 27K
[   ]nm-tray-0.5.0-r0.apk2024-01-28 23:12 102K
[   ]ginac-doc-1.8.7-r1.apk2024-01-28 14:57 98K
[   ]ginac-dev-1.8.7-r1.apk2024-01-28 14:57 70K
[   ]ginac-1.8.7-r1.apk2024-01-28 14:57 1.2M
[   ]cln-doc-1.3.7-r0.apk2024-01-28 14:57 78K
[   ]cln-dev-1.3.7-r0.apk2024-01-28 14:57 1.2M
[   ]cln-1.3.7-r0.apk2024-01-28 14:57 473K
[   ]perl-net-async-redis-doc-6.000-r0.apk2024-01-28 13:26 48K
[   ]perl-net-async-redis-6.000-r0.apk2024-01-28 13:26 54K
[   ]postgresql-pgmq-1.1.1-r0.apk2024-01-28 01:07 300K
[   ]angband-4.2.5-r0.apk2024-01-28 01:07 23M
[   ]perl-getopt-long-descriptive-doc-0.114-r0.apk2024-01-27 22:42 11K
[   ]perl-getopt-long-descriptive-0.114-r0.apk2024-01-27 22:42 15K
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-01-27 16:55 37K
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-01-27 16:55 19K
[   ]chiaki-2.2.0-r0.apk2024-01-26 15:22 317K
[   ]vile-doc-9.8z-r0.apk2024-01-26 03:26 15K
[   ]vile-9.8z-r0.apk2024-01-26 03:26 2.2M
[   ]snapraid-doc-12.3-r0.apk2024-01-26 03:25 17K
[   ]snapraid-12.3-r0.apk2024-01-26 03:25 261K
[   ]android-apktool-2.9.3-r0.apk2024-01-25 23:49 22M
[   ]radio-cli-2.3.1-r0.apk2024-01-25 21:24 1.4M
[   ]strfry-openrc-0.9.6-r0.apk2024-01-25 18:01 1.8K
[   ]strfry-0.9.6-r0.apk2024-01-25 18:01 1.7M
[   ]perl-regexp-grammars-doc-1.058-r0.apk2024-01-25 18:01 48K
[   ]perl-regexp-grammars-1.058-r0.apk2024-01-25 18:01 65K
[   ]plzip-doc-1.11-r0.apk2024-01-25 17:34 16K
[   ]plzip-1.11-r0.apk2024-01-25 17:34 50K
[   ]perl-test-memorygrowth-doc-0.04-r0.apk2024-01-24 08:57 5.0K
[   ]perl-test-memorygrowth-0.04-r0.apk2024-01-24 08:57 5.4K
[   ]apk-snap-doc-3.1.1-r0.apk2024-01-22 16:55 20K
[   ]apk-snap-3.1.1-r0.apk2024-01-22 16:55 6.4K
[   ]perl-datetime-format-rfc3339-doc-1.8.0-r0.apk2024-01-22 12:52 3.9K
[   ]perl-datetime-format-rfc3339-1.8.0-r0.apk2024-01-22 12:52 4.2K
[   ]perl-datetime-format-atom-doc-1.6.0-r0.apk2024-01-22 12:52 3.6K
[   ]perl-datetime-format-atom-1.6.0-r0.apk2024-01-22 12:52 3.0K
[   ]watchbind-doc-0.2.1-r0.apk2024-01-22 12:35 6.3K
[   ]watchbind-0.2.1-r0.apk2024-01-22 12:35 1.2M
[   ]libsemigroups-static-2.7.3-r0.apk2024-01-22 07:23 1.6M
[   ]libsemigroups-dev-2.7.3-r0.apk2024-01-22 07:23 338K
[   ]libsemigroups-2.7.3-r0.apk2024-01-22 07:23 752K
[   ]libdng-utils-0.1.1-r0.apk2024-01-21 23:37 6.2K
[   ]libdng-doc-0.1.1-r0.apk2024-01-21 23:37 4.0K
[   ]libdng-dev-0.1.1-r0.apk2024-01-21 23:37 2.9K
[   ]libdng-0.1.1-r0.apk2024-01-21 23:37 11K
[   ]py3-zimscraperlib-pyc-3.2.0-r0.apk2024-01-21 21:36 69K
[   ]py3-zimscraperlib-3.2.0-r0.apk2024-01-21 21:36 50K
[   ]gambit-doc-4.9.5-r0.apk2024-01-21 14:55 4.1K
[   ]gambit-dev-4.9.5-r0.apk2024-01-21 14:55 7.2M
[   ]gambit-4.9.5-r0.apk2024-01-21 14:55 7.8M
[   ]moe-doc-1.14-r0.apk2024-01-21 04:06 19K
[   ]moe-1.14-r0.apk2024-01-21 04:06 112K
[   ]wch-isp-udev-rules-0.4.1-r1.apk2024-01-19 19:40 1.4K
[   ]wch-isp-doc-0.4.1-r1.apk2024-01-19 19:40 2.4K
[   ]wch-isp-0.4.1-r1.apk2024-01-19 19:40 11K
[   ]mailutils-servers-3.17-r0.apk2024-01-19 18:51 82K
[   ]mailutils-mh-3.17-r0.apk2024-01-19 18:51 1.5M
[   ]mailutils-libs-3.17-r0.apk2024-01-19 18:51 563K
[   ]mailutils-doc-3.17-r0.apk2024-01-19 18:51 159K
[   ]mailutils-dev-3.17-r0.apk2024-01-19 18:51 2.9M
[   ]mailutils-3.17-r0.apk2024-01-19 18:51 264K
[   ]jsmn-1.1.0-r2.apk2024-01-19 18:04 4.5K
[   ]leptosfmt-doc-0.1.18-r0.apk2024-01-19 16:23 5.9K
[   ]leptosfmt-0.1.18-r0.apk2024-01-19 16:23 946K
[   ]dnsperf-doc-2.14.0-r0.apk2024-01-19 10:40 35K
[   ]dnsperf-2.14.0-r0.apk2024-01-19 10:40 71K
[   ]perl-rxperl-mojo-doc-6.8.1-r0.apk2024-01-19 04:45 8.7K
[   ]perl-rxperl-mojo-6.8.1-r0.apk2024-01-19 04:45 2.5K
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-01-19 04:45 8.7K
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-01-19 04:45 2.6K
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-01-19 04:45 8.7K
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-01-19 04:45 2.5K
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-01-19 04:13 2.9K
[   ]tty-clock-2.3_git20240104-r0.apk2024-01-19 04:13 8.4K
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-01-19 03:12 4.8K
[   ]cargo-run-bin-1.7.2-r0.apk2024-01-19 03:12 482K
[   ]megazeux-doc-2.93-r0.apk2024-01-19 02:36 444K
[   ]megazeux-2.93-r0.apk2024-01-19 02:36 1.1M
[   ]perl-rxperl-doc-6.28.0-r0.apk2024-01-19 01:19 22K
[   ]perl-rxperl-6.28.0-r0.apk2024-01-19 01:19 26K
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-01-19 01:19 2.3K
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-01-19 01:19 2.7K
[   ]perl-promise-es6-future-0.28-r0.apk2024-01-19 01:19 2.0K
[   ]perl-promise-es6-doc-0.28-r0.apk2024-01-19 01:19 12K
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-01-19 01:19 2.3K
[   ]perl-promise-es6-0.28-r0.apk2024-01-19 01:19 10K
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-01-19 01:19 3.5K
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-01-19 01:19 3.2K
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-01-19 01:19 19K
[   ]perl-hash-ordered-0.014-r0.apk2024-01-19 01:19 9.5K
[   ]perl-future-q-doc-0.120-r0.apk2024-01-19 01:19 8.9K
[   ]perl-future-q-0.120-r0.apk2024-01-19 01:19 9.3K
[   ]abc-0_git20240102-r0.apk2024-01-19 01:07 5.2M
[   ]perl-lv-doc-0.006-r0.apk2024-01-19 00:48 3.8K
[   ]perl-lv-backend-sentinel-0.006-r0.apk2024-01-19 00:48 1.7K
[   ]perl-lv-backend-magic-0.006-r0.apk2024-01-19 00:48 1.8K
[   ]perl-lv-0.006-r0.apk2024-01-19 00:48 3.8K
[   ]perl-graphql-client-doc-0.605-r0.apk2024-01-19 00:48 14K
[   ]perl-graphql-client-cli-0.605-r0.apk2024-01-19 00:48 7.6K
[   ]perl-graphql-client-0.605-r0.apk2024-01-19 00:48 6.9K
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-01-19 00:40 2.8K
[   ]vkbasalt-0.3.2.10-r0.apk2024-01-19 00:40 410K
[   ]cinny-web-3.2.0-r0.apk2024-01-18 02:23 4.4M
[   ]timewarrior-doc-1.7.1-r0.apk2024-01-17 23:56 22K
[   ]timewarrior-1.7.1-r0.apk2024-01-17 23:56 277K
[   ]perl-test-redisserver-doc-0.23-r0.apk2024-01-17 13:17 3.8K
[   ]perl-test-redisserver-0.23-r0.apk2024-01-17 13:17 4.7K
[   ]perl-mojo-pg-doc-4.27-r0.apk2024-01-17 13:13 19K
[   ]perl-mojo-pg-4.27-r0.apk2024-01-17 13:13 16K
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-01-17 13:12 11K
[   ]perl-list-binarysearch-0.25-r0.apk2024-01-17 13:12 9.7K
[   ]perl-database-async-engine-postgresql-doc-1.004-r0.apk2024-01-17 13:12 9.1K
[   ]perl-database-async-engine-postgresql-1.004-r0.apk2024-01-17 13:12 14K
[   ]perl-database-async-doc-0.019-r0.apk2024-01-17 13:12 29K
[   ]perl-database-async-0.019-r0.apk2024-01-17 13:12 23K
[   ]perl-adapter-async-doc-0.019-r0.apk2024-01-17 13:12 17K
[   ]perl-adapter-async-0.019-r0.apk2024-01-17 13:12 7.9K
[   ]openocd-git-udev-rules-0_git20240113-r0.apk2024-01-17 09:39 3.1K
[   ]openocd-git-doc-0_git20240113-r0.apk2024-01-17 09:39 3.1K
[   ]openocd-git-dev-0_git20240113-r0.apk2024-01-17 09:39 3.2K
[   ]openocd-git-dbg-0_git20240113-r0.apk2024-01-17 09:39 4.0M
[   ]openocd-git-cmd-openocd-0_git20240113-r0.apk2024-01-17 09:39 1.3K
[   ]openocd-git-0_git20240113-r0.apk2024-01-17 09:39 1.6M
[   ]perl-protocol-xmpp-doc-0.006-r0.apk2024-01-16 20:22 51K
[   ]perl-protocol-xmpp-0.006-r0.apk2024-01-16 20:22 17K
[   ]perl-net-async-xmpp-doc-0.003-r0.apk2024-01-16 20:22 9.7K
[   ]perl-net-async-xmpp-0.003-r0.apk2024-01-16 20:22 6.2K
[   ]yass-2.5.0-r0.apk2024-01-15 22:00 14M
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-01-15 22:00 5.4K
[   ]perl-variable-disposition-0.005-r0.apk2024-01-15 22:00 3.0K
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-01-15 22:00 4.7K
[   ]perl-uri-tcp-2.0.0-r0.apk2024-01-15 22:00 2.5K
[   ]perl-uri-redis-doc-0.02-r0.apk2024-01-15 22:00 4.3K
[   ]perl-uri-redis-0.02-r0.apk2024-01-15 22:00 2.9K
[   ]perl-ryu-doc-3.005-r0.apk2024-01-15 22:00 35K
[   ]perl-ryu-async-doc-0.020-r0.apk2024-01-15 22:00 12K
[   ]perl-ryu-async-0.020-r0.apk2024-01-15 22:00 7.2K
[   ]perl-ryu-3.005-r0.apk2024-01-15 22:00 25K
[   ]perl-opentracing-doc-1.006-r0.apk2024-01-15 22:00 32K
[   ]perl-opentracing-1.006-r0.apk2024-01-15 22:00 18K
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-01-15 22:00 3.2K
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-01-15 22:00 3.2K
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-01-15 22:00 3.3K
[   ]perl-json-maybeutf8-2.000-r0.apk2024-01-15 22:00 2.9K
[   ]perl-future-queue-doc-0.52-r0.apk2024-01-15 22:00 4.0K
[   ]perl-future-queue-0.52-r0.apk2024-01-15 22:00 3.8K
[   ]perl-curry-doc-2.000001-r0.apk2024-01-15 22:00 3.2K
[   ]perl-curry-2.000001-r0.apk2024-01-15 22:00 2.6K
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-01-15 22:00 8.5K
[   ]perl-crypt-random-seed-0.03-r0.apk2024-01-15 22:00 11K
[   ]perl-cache-lru-doc-0.04-r0.apk2024-01-15 22:00 3.0K
[   ]perl-cache-lru-0.04-r0.apk2024-01-15 22:00 2.7K
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-01-15 22:00 12K
[   ]perl-bytes-random-secure-0.29-r0.apk2024-01-15 22:00 14K
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-01-15 15:28 10K
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-01-15 15:28 12K
[   ]otrs-setup-6.0.48-r1.apk2024-01-15 10:42 107K
[   ]otrs-openrc-6.0.48-r1.apk2024-01-15 10:42 1.7K
[   ]otrs-nginx-6.0.48-r1.apk2024-01-15 10:42 1.6K
[   ]otrs-fastcgi-6.0.48-r1.apk2024-01-15 10:42 1.5K
[   ]otrs-doc-6.0.48-r1.apk2024-01-15 10:42 795K
[   ]otrs-dev-6.0.48-r1.apk2024-01-15 10:42 3.9M
[   ]otrs-bash-completion-6.0.48-r1.apk2024-01-15 10:42 2.2K
[   ]otrs-apache2-6.0.48-r1.apk2024-01-15 10:42 3.9K
[   ]otrs-6.0.48-r1.apk2024-01-15 10:42 29M
[   ]ddgr-zsh-completion-2.2-r0.apk2024-01-14 21:26 2.4K
[   ]ddgr-fish-completion-2.2-r0.apk2024-01-14 21:26 2.0K
[   ]ddgr-doc-2.2-r0.apk2024-01-14 21:26 11K
[   ]ddgr-bash-completion-2.2-r0.apk2024-01-14 21:26 2.0K
[   ]ddgr-2.2-r0.apk2024-01-14 21:26 20K
[   ]perl-xml-feed-doc-0.63-r0.apk2024-01-14 16:56 12K
[   ]perl-xml-feed-0.63-r0.apk2024-01-14 16:56 14K
[   ]perl-xml-atom-doc-0.43-r0.apk2024-01-14 16:56 16K
[   ]perl-xml-atom-0.43-r0.apk2024-01-14 16:56 19K
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-01-14 16:56 7.3K
[   ]perl-uri-fetch-0.15-r0.apk2024-01-14 16:56 6.8K
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-01-14 16:56 8.1K
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-01-14 16:56 9.6K
[   ]perl-feed-find-doc-0.13-r0.apk2024-01-14 16:56 3.5K
[   ]perl-feed-find-0.13-r0.apk2024-01-14 16:56 3.6K
[   ]perl-datetime-format-flexible-doc-0.34-r0.apk2024-01-14 16:56 12K
[   ]perl-datetime-format-flexible-0.34-r0.apk2024-01-14 16:56 18K
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-01-14 13:55 6.3K
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-01-14 13:55 7.7K
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-01-14 13:55 6.7K
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-01-14 13:55 6.9K
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-01-14 13:55 3.9K
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-01-14 13:55 4.4K
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-01-14 13:55 3.6K
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-01-14 13:55 4.4K
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-01-14 13:55 3.1K
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-01-14 13:55 3.7K
[   ]perl-openapi-client-doc-1.07-r0.apk2024-01-14 13:53 7.1K
[   ]perl-openapi-client-1.07-r0.apk2024-01-14 13:53 8.4K
[   ]perl-mojolicious-plugin-openapi-doc-5.09-r0.apk2024-01-14 13:53 34K
[   ]perl-mojolicious-plugin-openapi-5.09-r0.apk2024-01-14 13:53 28K
[   ]perl-json-validator-doc-5.14-r0.apk2024-01-14 13:53 33K
[   ]perl-json-validator-5.14-r0.apk2024-01-14 13:53 59K
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-01-14 13:53 5.2K
[   ]perl-data-validate-domain-0.15-r0.apk2024-01-14 13:53 5.6K
[   ]libdcmtk-3.6.8-r0.apk2024-01-14 00:09 6.5M
[   ]dcmtk-openrc-3.6.8-r0.apk2024-01-14 00:09 1.5K
[   ]dcmtk-doc-3.6.8-r0.apk2024-01-14 00:09 266K
[   ]dcmtk-dev-3.6.8-r0.apk2024-01-14 00:09 1.6M
[   ]dcmtk-3.6.8-r0.apk2024-01-14 00:09 1.4M
[   ]faultstat-doc-0.01.11-r0.apk2024-01-13 13:40 2.8K
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-01-13 13:40 2.0K
[   ]faultstat-0.01.11-r0.apk2024-01-13 13:40 14K
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-01-13 12:52 3.8K
[   ]perl-types-path-tiny-0.006-r0.apk2024-01-13 12:52 3.7K
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-01-13 12:52 3.7K
[   ]perl-role-eventemitter-0.003-r0.apk2024-01-13 12:52 3.4K
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-01-13 12:52 33K
[   ]perl-mastodon-client-0.017-r0.apk2024-01-13 12:52 22K
[   ]perl-http-thin-doc-0.006-r0.apk2024-01-13 12:52 3.2K
[   ]perl-http-thin-0.006-r0.apk2024-01-13 12:52 2.8K
[   ]xtl-0.7.7-r0.apk2024-01-12 18:59 110K
[   ]xtensor-0.24.7-r0.apk2024-01-12 18:59 270K
[   ]tree-sitter-clojure-0.0.12-r0.apk2024-01-12 14:03 23K
[   ]perl-uri-nested-doc-0.10-r0.apk2024-01-12 14:03 3.6K
[   ]perl-uri-nested-0.10-r0.apk2024-01-12 14:03 3.7K
[   ]perl-sql-abstract-pg-doc-1.0-r0.apk2024-01-12 14:03 4.3K
[   ]perl-sql-abstract-pg-1.0-r0.apk2024-01-12 14:03 4.8K
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-01-12 14:03 3.1K
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-01-12 14:03 3.2K
[   ]perl-protocol-redis-doc-1.0011-r0.apk2024-01-12 14:03 4.8K
[   ]perl-protocol-redis-1.0011-r0.apk2024-01-12 14:03 5.3K
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-01-12 14:03 43K
[   ]perl-net-xmpp-1.05-r0.apk2024-01-12 14:03 57K
[   ]perl-net-jabber-doc-2.0-r0.apk2024-01-12 14:03 48K
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-01-12 14:03 7.3K
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-01-12 14:03 13K
[   ]perl-net-jabber-2.0-r0.apk2024-01-12 14:03 50K
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-01-12 14:03 19K
[   ]perl-mojo-sqlite-3.009-r0.apk2024-01-12 14:03 16K
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-01-12 14:03 24K
[   ]perl-mojo-redis-3.29-r0.apk2024-01-12 14:03 25K
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-01-12 14:03 4.1K
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-01-12 14:03 4.5K
[   ]perl-lwp-online-doc-1.08-r0.apk2024-01-12 14:03 5.4K
[   ]perl-lwp-online-1.08-r0.apk2024-01-12 14:03 5.9K
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-01-12 03:24 747K
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-01-12 03:24 1.1M
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-01-12 03:24 1.0M
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-01-12 03:24 39K
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-01-12 03:24 2.1M
[   ]prjtrellis-db-0_git20230929-r0.apk2024-01-12 03:24 3.0K
[   ]vice-3.8-r0.apk2024-01-11 23:51 13M
[   ]tartube-pyc-2.5.0-r0.apk2024-01-11 23:04 1.1M
[   ]tartube-2.5.0-r0.apk2024-01-11 23:04 1.4M
[   ]libcyaml-static-1.4.1-r2.apk2024-01-11 22:56 25K
[   ]libcyaml-doc-1.4.1-r2.apk2024-01-11 22:56 8.4K
[   ]libcyaml-dev-1.4.1-r2.apk2024-01-11 22:56 13K
[   ]libcyaml-1.4.1-r2.apk2024-01-11 22:56 21K
[   ]font-babelstone-han-15.1.3-r0.apk2024-01-10 22:13 18M
[   ]xed-python-3.4.5-r0.apk2024-01-08 10:44 24K
[   ]xed-lang-3.4.5-r0.apk2024-01-08 10:44 2.0M
[   ]xed-doc-3.4.5-r0.apk2024-01-08 10:44 1.0M
[   ]xed-dev-3.4.5-r0.apk2024-01-08 10:44 14K
[   ]xed-3.4.5-r0.apk2024-01-08 10:44 1.1M
[   ]swaks-doc-20240103.0-r0.apk2024-01-08 10:44 49K
[   ]swaks-20240103.0-r0.apk2024-01-08 10:44 66K
[   ]rustic-zsh-completion-0.6.1-r1.apk2024-01-08 10:44 9.2K
[   ]rustic-fish-completion-0.6.1-r1.apk2024-01-08 10:44 12K
[   ]rustic-bash-completion-0.6.1-r1.apk2024-01-08 10:44 6.2K
[   ]rustic-0.6.1-r1.apk2024-01-08 10:44 2.9M
[   ]powersupply-0.9.0-r0.apk2024-01-08 10:44 12K
[   ]policycoreutils-lang-3.6-r0.apk2024-01-08 10:44 108K
[   ]policycoreutils-doc-3.6-r0.apk2024-01-08 10:44 22K
[   ]policycoreutils-bash-completion-3.6-r0.apk2024-01-08 10:44 2.2K
[   ]policycoreutils-3.6-r0.apk2024-01-08 10:44 70K
[   ]planner-lang-0.14.92-r0.apk2024-01-08 10:44 827K
[   ]planner-doc-0.14.92-r0.apk2024-01-08 10:44 2.0K
[   ]planner-0.14.92-r0.apk2024-01-08 10:44 372K
[   ]perl-string-random-doc-0.32-r2.apk2024-01-08 10:44 5.9K
[   ]perl-string-random-0.32-r2.apk2024-01-08 10:44 7.7K
[   ]perl-net-netmask-doc-2.0002-r2.apk2024-01-08 10:44 8.3K
[   ]perl-net-netmask-2.0002-r2.apk2024-01-08 10:44 14K
[   ]howard-bc-doc-6.7.5-r0.apk2024-01-08 10:44 38K
[   ]howard-bc-6.7.5-r0.apk2024-01-08 10:44 69K
[   ]hex-0.6.0-r0.apk2024-01-08 10:44 324K
[   ]checkpolicy-doc-3.6-r0.apk2024-01-08 10:44 3.9K
[   ]checkpolicy-3.6-r0.apk2024-01-08 10:44 369K
[   ]empede-openrc-0.2.3-r0.apk2024-01-07 02:53 1.7K
[   ]empede-doc-0.2.3-r0.apk2024-01-07 02:53 2.0K
[   ]empede-0.2.3-r0.apk2024-01-07 02:53 2.1M
[   ]font-hanazono-20170904-r1.apk2024-01-06 23:25 29M
[   ]perl-anyevent-xmpp-doc-0.55-r0.apk2024-01-06 23:16 118K
[   ]perl-anyevent-xmpp-0.55-r0.apk2024-01-06 23:16 89K
[   ]responder-3.1.4.0-r0.apk2024-01-05 22:30 749K
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-01-04 20:32 1.4K
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-01-04 20:32 3.9K
[   ]repgrep-doc-0.15.0-r0.apk2024-01-04 20:32 6.2K
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-01-04 20:32 1.4K
[   ]repgrep-0.15.0-r0.apk2024-01-04 20:32 1.2M
[   ]dbus-broker-doc-35-r0.apk2024-01-04 20:26 5.6K
[   ]dbus-broker-35-r0.apk2024-01-04 20:26 84K
[   ]w_scan2-doc-1.0.15-r0.apk2024-01-04 09:02 3.9K
[   ]w_scan2-1.0.15-r0.apk2024-01-04 09:02 130K
[   ]perl-test-timer-doc-2.12-r2.apk2024-01-04 02:13 8.1K
[   ]perl-test-timer-2.12-r2.apk2024-01-04 02:13 8.6K
[   ]perl-sys-syscall-doc-0.25-r9.apk2024-01-04 02:13 3.4K
[   ]perl-sys-syscall-0.25-r9.apk2024-01-04 02:13 5.1K
[   ]perl-proc-guard-doc-0.07-r4.apk2024-01-04 02:13 3.3K
[   ]perl-proc-guard-0.07-r4.apk2024-01-04 02:13 3.4K
[   ]perl-gearman-doc-2.004.015-r3.apk2024-01-04 02:13 20K
[   ]perl-gearman-2.004.015-r3.apk2024-01-04 02:13 27K
[   ]sfwbar-doc-1.0_beta14-r0.apk2024-01-04 02:11 22K
[   ]sfwbar-1.0_beta14-r0.apk2024-01-04 02:11 216K
[   ]perl-object-event-doc-1.23-r0.apk2024-01-03 19:46 6.9K
[   ]perl-object-event-1.23-r0.apk2024-01-03 19:46 9.2K
[   ]perl-xml-stream-doc-1.24-r0.apk2024-01-03 19:44 17K
[   ]perl-xml-stream-1.24-r0.apk2024-01-03 19:44 44K
[   ]perl-xml-libxml-sax-chunkparser-doc-0.00008-r0.apk2024-01-03 19:24 2.8K
[   ]perl-xml-libxml-sax-chunkparser-0.00008-r0.apk2024-01-03 19:24 2.7K
[   ]perl-test-checkdeps-doc-0.010-r0.apk2024-01-03 19:24 3.2K
[   ]perl-test-checkdeps-0.010-r0.apk2024-01-03 19:24 3.2K
[   ]perl-mixin-event-dispatch-doc-2.000-r0.apk2024-01-03 19:24 13K
[   ]perl-mixin-event-dispatch-2.000-r0.apk2024-01-03 19:24 8.6K
[   ]rapidfuzz-3.0.0-r0.apk2024-01-03 17:14 63K
[   ]pounce-openrc-3.1-r3.apk2024-01-03 15:08 2.6K
[   ]pounce-doc-3.1-r3.apk2024-01-03 15:08 8.3K
[   ]pounce-3.1-r3.apk2024-01-03 15:08 31K
[   ]powerstat-doc-0.04.01-r0.apk2024-01-03 13:58 4.0K
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-01-03 13:58 2.0K
[   ]powerstat-0.04.01-r0.apk2024-01-03 13:58 19K
[   ]trigger-rally-doc-0.6.7-r2.apk2024-01-02 09:55 28K
[   ]trigger-rally-data-0.6.7-r2.apk2024-01-02 09:55 352M
[   ]trigger-rally-0.6.7-r2.apk2024-01-02 09:54 315K
[   ]perl-file-rename-doc-2.02-r0.apk2024-01-01 13:23 12K
[   ]perl-file-rename-2.02-r0.apk2024-01-01 13:23 7.3K
[   ]pcsc-tools-doc-1.7.1-r0.apk2023-12-31 21:26 5.8K
[   ]pcsc-tools-1.7.1-r0.apk2023-12-31 21:26 182K
[   ]imapfilter-doc-2.8.2-r0.apk2023-12-31 20:40 13K
[   ]imapfilter-2.8.2-r0.apk2023-12-31 20:40 43K
[   ]mint-y-icons-doc-1.7.2-r0.apk2023-12-31 20:13 10K
[   ]mint-y-icons-1.7.2-r0.apk2023-12-31 20:13 71M
[   ]fusee-nano-udev-0.5.3-r0.apk2023-12-31 19:28 1.4K
[   ]fusee-nano-0.5.3-r0.apk2023-12-31 19:28 21K
[   ]font-commit-mono-1.143-r0.apk2023-12-31 16:45 251K
[   ]ffms2-doc-2.40-r0.apk2023-12-30 14:46 30K
[   ]ffms2-dev-2.40-r0.apk2023-12-30 14:46 7.3K
[   ]ffms2-2.40-r0.apk2023-12-30 14:46 68K
[   ]wayqt-dev-0.2.0-r0.apk2023-12-30 14:27 18K
[   ]wayqt-0.2.0-r0.apk2023-12-30 14:27 105K
[   ]dfl-sni-dev-0.2.0-r0.apk2023-12-30 14:27 3.9K
[   ]dfl-sni-0.2.0-r0.apk2023-12-30 14:27 33K
[   ]dfl-login1-dev-0.2.0-r0.apk2023-12-30 14:27 3.2K
[   ]dfl-login1-0.2.0-r0.apk2023-12-30 14:27 17K
[   ]dfl-ipc-dev-0.2.0-r0.apk2023-12-30 14:27 3.4K
[   ]dfl-ipc-0.2.0-r0.apk2023-12-30 14:27 21K
[   ]dfl-applications-dev-0.2.0-r0.apk2023-12-30 14:27 3.9K
[   ]dfl-applications-0.2.0-r0.apk2023-12-30 14:27 34K
[   ]perl-io-async-resolver-dns-doc-0.06-r0.apk2023-12-30 12:58 4.8K
[   ]perl-io-async-resolver-dns-0.06-r0.apk2023-12-30 12:58 6.8K
[   ]android-file-transfer-libs-4.3-r0.apk2023-12-29 00:12 131K
[   ]android-file-transfer-dev-4.3-r0.apk2023-12-29 00:12 1.3K
[   ]android-file-transfer-cli-4.3-r0.apk2023-12-29 00:12 115K
[   ]android-file-transfer-4.3-r0.apk2023-12-29 00:12 186K
[   ]libsemanage-doc-3.6-r0.apk2023-12-28 05:20 23K
[   ]libsemanage-dev-3.6-r0.apk2023-12-28 05:20 158K
[   ]libsemanage-3.6-r0.apk2023-12-28 05:20 97K
[   ]xfce4-docklike-plugin-lang-0.4.2-r0.apk2023-12-27 00:00 36K
[   ]xfce4-docklike-plugin-0.4.2-r0.apk2023-12-27 00:00 76K
[   ]cgiirc-0.5.12-r1.apk2023-12-25 09:11 134K
[   ]ouch-zsh-completion-0.5.1-r0.apk2023-12-24 19:42 2.6K
[   ]ouch-fish-completion-0.5.1-r0.apk2023-12-24 19:42 2.1K
[   ]ouch-doc-0.5.1-r0.apk2023-12-24 19:42 3.4K
[   ]ouch-bash-completion-0.5.1-r0.apk2023-12-24 19:42 2.0K
[   ]ouch-0.5.1-r0.apk2023-12-24 19:42 1.2M
[   ]dasht-zsh-completion-2.4.0-r0.apk2023-12-24 04:12 1.8K
[   ]dasht-doc-2.4.0-r0.apk2023-12-24 04:12 11K
[   ]dasht-2.4.0-r0.apk2023-12-24 04:12 14K
[   ]pam_mount-doc-2.20-r0.apk2023-12-23 03:34 17K
[   ]pam_mount-dev-2.20-r0.apk2023-12-23 03:34 3.1K
[   ]pam_mount-2.20-r0.apk2023-12-23 03:34 57K
[   ]libhx-dev-4.21-r0.apk2023-12-23 03:34 15K
[   ]libhx-4.21-r0.apk2023-12-23 03:34 40K
[   ]libcryptmount-2.20-r0.apk2023-12-23 03:34 11K
[   ]vym-doc-2.9.26-r0.apk2023-12-23 02:35 3.4M
[   ]vym-2.9.26-r0.apk2023-12-23 02:35 2.8M
[   ]tree-sitter-just-0_git20230318-r0.apk2023-12-23 02:35 15K
[   ]sqliteodbc-0.99991-r0.apk2023-12-23 02:35 93K
[   ]lsix-1.8.2-r0.apk2023-12-23 02:34 6.3K
[   ]granite7-lang-7.4.0-r0.apk2023-12-23 02:34 52K
[   ]granite7-dev-7.4.0-r0.apk2023-12-23 02:34 44K
[   ]granite7-7.4.0-r0.apk2023-12-23 02:34 119K
[   ]libfyaml-doc-0.9-r0.apk2023-12-21 23:37 7.2K
[   ]libfyaml-dev-0.9-r0.apk2023-12-21 23:37 42K
[   ]libfyaml-0.9-r0.apk2023-12-21 23:37 300K
[   ]kondo-zsh-completion-0.8-r0.apk2023-12-20 23:45 2.2K
[   ]kondo-fish-completion-0.8-r0.apk2023-12-20 23:45 1.8K
[   ]kondo-bash-completion-0.8-r0.apk2023-12-20 23:45 1.8K
[   ]kondo-0.8-r0.apk2023-12-20 23:45 724K
[   ]php83-pecl-apfd-1.0.3-r0.apk2023-12-20 17:48 4.6K
[   ]php82-pecl-apfd-1.0.3-r0.apk2023-12-20 17:48 4.6K
[   ]lottieconverter-doc-0.2_git20231219-r0.apk2023-12-19 23:23 2.2K
[   ]lottieconverter-0.2_git20231219-r0.apk2023-12-19 23:23 14K
[   ]x11docker-doc-7.6.0-r1.apk2023-12-19 16:01 9.1K
[   ]x11docker-7.6.0-r1.apk2023-12-19 16:01 113K
[   ]paperkey-doc-1.6-r2.apk2023-12-19 16:01 4.2K
[   ]paperkey-1.6-r2.apk2023-12-19 16:01 17K
[   ]gede-2.18.2-r1.apk2023-12-19 16:01 272K
[   ]flamegraph-1.0_git20220918-r1.apk2023-12-19 16:01 37K
[   ]dnscrypt-wrapper-0.4.2-r3.apk2023-12-19 16:01 30K
[   ]tsung-1.8.0-r2.apk2023-12-19 09:09 730K
[   ]symbiyosys-0.36-r0.apk2023-12-18 22:23 37K
[   ]edward-doc-1.0.1-r1.apk2023-12-18 16:22 4.8K
[   ]edward-1.0.1-r1.apk2023-12-18 16:22 1.9M
[   ]rlottie-doc-0.2_git20230831-r0.apk2023-12-18 15:56 12K
[   ]rlottie-dev-0.2_git20230831-r0.apk2023-12-18 15:56 9.2K
[   ]rlottie-0.2_git20230831-r0.apk2023-12-18 15:56 178K
[   ]ledmon-doc-0.97-r1.apk2023-12-18 11:41 13K
[   ]ledmon-0.97-r1.apk2023-12-18 11:41 77K
[   ]spiritvnc-0.6.0-r0.apk2023-12-18 02:36 47K
[   ]perl-class-inner-doc-0.200001-r5.apk2023-12-17 23:52 3.9K
[   ]perl-class-inner-0.200001-r5.apk2023-12-17 23:52 3.7K
[   ]postgresql-pg_partman-scripts-5.0.0-r0.apk2023-12-17 22:56 7.4K
[   ]postgresql-pg_partman-doc-5.0.0-r0.apk2023-12-17 22:56 47K
[   ]postgresql-pg_partman-bitcode-5.0.0-r0.apk2023-12-17 22:56 23K
[   ]postgresql-pg_partman-5.0.0-r0.apk2023-12-17 22:56 972K
[   ]postgresql-hll-bitcode-2.18-r0.apk2023-12-17 22:27 56K
[   ]postgresql-hll-2.18-r0.apk2023-12-17 22:27 28K
[   ]zsh-fast-syntax-highlighting-doc-1.55_git20230705-r0.apk2023-12-17 13:11 6.8K
[   ]zsh-fast-syntax-highlighting-1.55_git20230705-r0.apk2023-12-17 13:11 74K
[   ]bm818-tools-0.7-r0.apk2023-12-16 16:13 5.2K
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2023-12-16 03:06 2.0K
[   ]tree-sitter-caddy-0_git20230322-r0.apk2023-12-16 03:06 73K
[   ]musikcube-plugin-taglibreader-3.0.2-r1.apk2023-12-13 21:21 36K
[   ]musikcube-plugin-supereqdsp-3.0.2-r1.apk2023-12-13 21:21 30K
[   ]musikcube-plugin-stockencoders-3.0.2-r1.apk2023-12-13 21:21 21K
[   ]musikcube-plugin-server-3.0.2-r1.apk2023-12-13 21:21 397K
[   ]musikcube-plugin-openmpt-3.0.2-r1.apk2023-12-13 21:21 33K
[   ]musikcube-plugin-mpris-3.0.2-r1.apk2023-12-13 21:21 22K
[   ]musikcube-plugin-httpdatastream-3.0.2-r1.apk2023-12-13 21:21 86K
[   ]musikcube-plugin-all-3.0.2-r1.apk2023-12-13 21:21 1.3K
[   ]musikcube-dev-3.0.2-r1.apk2023-12-13 21:21 20K
[   ]musikcube-3.0.2-r1.apk2023-12-13 21:21 2.4M
[   ]sing-geosite-20231212122459-r0.apk2023-12-13 18:19 715K
[   ]sing-geoip-20231212-r0.apk2023-12-13 18:19 3.0M
[   ]htslib-tools-1.19-r0.apk2023-12-12 18:44 1.3M
[   ]htslib-static-1.19-r0.apk2023-12-12 18:44 527K
[   ]htslib-doc-1.19-r0.apk2023-12-12 18:44 22K
[   ]htslib-dev-1.19-r0.apk2023-12-12 18:44 115K
[   ]htslib-1.19-r0.apk2023-12-12 18:44 402K
[   ]varnish-modules-doc-0.23.0-r1.apk2023-12-12 07:41 21K
[   ]varnish-modules-0.23.0-r1.apk2023-12-12 07:41 54K
[   ]xfce4-panel-profiles-lang-1.0.14-r1.apk2023-12-11 09:36 44K
[   ]xfce4-panel-profiles-doc-1.0.14-r1.apk2023-12-11 09:36 19K
[   ]xfce4-panel-profiles-1.0.14-r1.apk2023-12-11 09:36 56K
[   ]z-doc-1.12-r0.apk2023-12-10 23:36 3.7K
[   ]z-1.12-r0.apk2023-12-10 23:36 4.3K
[   ]rinetd-openrc-0.73-r0.apk2023-12-09 23:48 1.5K
[   ]rinetd-doc-0.73-r0.apk2023-12-09 23:48 16K
[   ]rinetd-0.73-r0.apk2023-12-09 23:48 15K
[   ]eccodes-2.32.1-r0.apk2023-12-08 23:07 11M
[   ]nuzzle-doc-1.5-r0.apk2023-12-08 14:14 3.1K
[   ]nuzzle-1.5-r0.apk2023-12-08 14:14 12K
[   ]nauty-dev-2.8.8-r0.apk2023-12-08 03:12 4.3M
[   ]nauty-2.8.8-r0.apk2023-12-08 03:12 5.9M
[   ]libcork-tools-0.15.0-r7.apk2023-12-07 16:23 4.8K
[   ]libcork-dev-0.15.0-r7.apk2023-12-07 16:23 30K
[   ]libcork-0.15.0-r7.apk2023-12-07 16:23 37K
[   ]aufs-util-doc-20161219-r2.apk2023-12-07 16:23 34K
[   ]aufs-util-dev-20161219-r2.apk2023-12-07 16:23 1.2K
[   ]aufs-util-20161219-r2.apk2023-12-07 16:23 205K
[   ]openocd-esp32-udev-rules-0_git20230921-r4.apk2023-12-05 21:06 3.1K
[   ]openocd-esp32-doc-0_git20230921-r4.apk2023-12-05 21:06 3.0K
[   ]openocd-esp32-dev-0_git20230921-r4.apk2023-12-05 21:06 3.2K
[   ]openocd-esp32-0_git20230921-r4.apk2023-12-05 21:06 1.7M
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2023-12-04 09:25 231K
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2023-12-04 09:25 11K
[   ]bindfs-doc-1.17.6-r0.apk2023-12-03 11:03 8.8K
[   ]bindfs-1.17.6-r0.apk2023-12-03 11:03 22K
[   ]tkts-2.0-r0.apk2023-12-02 13:06 17K
[   ]toapk-1.0-r0.apk2023-12-02 13:04 11K
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2023-11-29 09:19 2.0K
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2023-11-29 09:19 16K
[   ]opkg-libs-0.6.2-r0.apk2023-11-27 22:03 82K
[   ]opkg-doc-0.6.2-r0.apk2023-11-27 22:03 7.4K
[   ]opkg-dev-0.6.2-r0.apk2023-11-27 22:03 122K
[   ]opkg-0.6.2-r0.apk2023-11-27 22:03 9.9K
[   ]gamja-doc-1.0.0_beta9-r0.apk2023-11-26 23:36 2.0K
[   ]gamja-1.0.0_beta9-r0.apk2023-11-26 23:36 599K
[   ]wf-config-dev-0.8.0-r0.apk2023-11-26 00:42 16K
[   ]wf-config-0.8.0-r0.apk2023-11-26 00:42 105K
[   ]wcm-0.8.0-r0.apk2023-11-26 00:42 368K
[   ]tabby-doc-3.1-r1.apk2023-11-26 00:42 2.0K
[   ]tabby-3.1-r1.apk2023-11-26 00:42 36K
[   ]gamescope-3.12.0-r1.apk2023-11-26 00:42 445K
[   ]gloox-dev-1.0.28-r0.apk2023-11-24 01:25 950K
[   ]gloox-1.0.28-r0.apk2023-11-24 01:25 379K
[   ]m17n-db-lang-1.8.5-r0.apk2023-11-23 22:18 11K
[   ]m17n-db-dev-1.8.5-r0.apk2023-11-23 22:18 1.4K
[   ]m17n-db-1.8.5-r0.apk2023-11-23 22:18 2.5M
[   ]php83-pecl-zmq-1.1.4-r0.apk2023-11-22 11:55 31K
[   ]srb2-data-2.2.13-r0.apk2023-11-22 11:00 138M
[   ]srb2-2.2.13-r0.apk2023-11-22 10:59 1.8M
[   ]tree-sitter-scheme-doc-0.6.0-r0.apk2023-11-20 01:29 2.0K
[   ]tree-sitter-scheme-0.6.0-r0.apk2023-11-20 01:29 23K
[   ]schismtracker-doc-20231029-r0.apk2023-11-19 14:18 6.0K
[   ]schismtracker-20231029-r0.apk2023-11-19 14:18 393K
[   ]tree-sitter-nix-doc-0_git20230713-r0.apk2023-11-19 03:32 2.0K
[   ]tree-sitter-nix-0_git20230713-r0.apk2023-11-19 03:32 23K
[   ]sentinel-proxy-openrc-2.1.0-r0.apk2023-11-18 18:33 2.0K
[   ]sentinel-proxy-dev-2.1.0-r0.apk2023-11-18 18:33 4.4K
[   ]sentinel-proxy-2.1.0-r0.apk2023-11-18 18:33 45K
[   ]logc-libs-dev-0.1.0-r0.apk2023-11-18 18:33 5.6K
[   ]logc-libs-0.1.0-r0.apk2023-11-18 18:33 1.2K
[   ]logc-libevent-0.1.0-r0.apk2023-11-18 18:33 3.4K
[   ]logc-dev-0.5.0-r0.apk2023-11-18 18:33 8.6K
[   ]logc-czmq-0.1.0-r0.apk2023-11-18 18:33 4.1K
[   ]logc-config-0.5.0-r0.apk2023-11-18 18:33 5.2K
[   ]logc-argp-0.5.0-r0.apk2023-11-18 18:33 17K
[   ]logc-0.5.0-r0.apk2023-11-18 18:33 8.7K
[   ]base64c-dev-0.2.1-r0.apk2023-11-18 18:33 5.4K
[   ]base64c-0.2.1-r0.apk2023-11-18 18:33 4.6K
[   ]tree-sitter-git-diff-0_git20230730-r0.apk2023-11-17 00:18 10K
[   ]qflipper-gui-1.3.3-r0.apk2023-11-16 00:43 1.1M
[   ]qflipper-1.3.3-r0.apk2023-11-16 00:43 511K
[   ]tree-sitter-ron-0_git20220508-r1.apk2023-11-15 22:54 29K
[   ]tree-sitter-make-0_git20211216-r2.apk2023-11-15 22:54 42K
[   ]tree-sitter-hcl-1.1.0-r1.apk2023-11-15 22:54 45K
[   ]tree-sitter-hare-0_git20230616-r1.apk2023-11-15 22:54 33K
[   ]tree-sitter-git-rebase-0_git20220110-r2.apk2023-11-15 22:54 7.4K
[   ]tree-sitter-git-commit-0_git20211225-r2.apk2023-11-15 22:54 13K
[   ]tree-sitter-dart-0_git20230123-r1.apk2023-11-15 22:54 91K
[   ]perl-anyevent-future-doc-0.05-r0.apk2023-11-15 16:19 5.2K
[   ]perl-anyevent-future-0.05-r0.apk2023-11-15 16:19 4.9K
[   ]otpclient-doc-3.2.1-r1.apk2023-11-15 15:38 3.3K
[   ]otpclient-3.2.1-r1.apk2023-11-15 15:38 114K
[   ]kismet-nxp-kw41z-0.202307.1-r2.apk2023-11-15 15:38 44K
[   ]kismet-nrf-51822-0.202307.1-r2.apk2023-11-15 15:38 42K
[   ]kismet-logtools-0.202307.1-r2.apk2023-11-15 15:38 1.1M
[   ]kismet-linux-wifi-0.202307.1-r2.apk2023-11-15 15:38 64K
[   ]kismet-linux-bluetooth-0.202307.1-r2.apk2023-11-15 15:38 46K
[   ]kismet-0.202307.1-r2.apk2023-11-15 15:38 12M
[   ]snore-doc-0.3.1-r0.apk2023-11-14 20:46 2.8K
[   ]snore-0.3.1-r0.apk2023-11-14 20:46 4.6K
[   ]sflowtool-doc-6.02-r0.apk2023-11-14 00:00 9.1K
[   ]sflowtool-6.02-r0.apk2023-11-14 00:00 41K
[   ]motion-openrc-4.6.0-r0.apk2023-11-13 23:52 2.0K
[   ]motion-lang-4.6.0-r0.apk2023-11-13 23:52 488K
[   ]motion-doc-4.6.0-r0.apk2023-11-13 23:52 141K
[   ]motion-4.6.0-r0.apk2023-11-13 23:52 141K
[   ]glslviewer-3.2.4-r0.apk2023-11-13 22:12 2.0M
[   ]mmtc-0.3.2-r0.apk2023-11-12 11:22 538K
[   ]font-monaspace-xenon-1.000-r0.apk2023-11-11 17:12 2.3M
[   ]font-monaspace-radon-1.000-r0.apk2023-11-11 17:12 2.7M
[   ]font-monaspace-neon-1.000-r0.apk2023-11-11 17:12 2.1M
[   ]font-monaspace-krypton-1.000-r0.apk2023-11-11 17:12 2.0M
[   ]font-monaspace-argon-1.000-r0.apk2023-11-11 17:12 2.1M
[   ]font-monaspace-1.000-r0.apk2023-11-11 17:12 1.2K
[   ]mml-zsh-completion-1.0.0-r0.apk2023-11-11 00:48 2.6K
[   ]mml-fish-completion-1.0.0-r0.apk2023-11-11 00:48 2.0K
[   ]mml-doc-1.0.0-r0.apk2023-11-11 00:48 3.7K
[   ]mml-bash-completion-1.0.0-r0.apk2023-11-11 00:48 2.0K
[   ]mml-1.0.0-r0.apk2023-11-11 00:48 914K
[   ]gtk4-layer-shell-dev-1.0.2-r0.apk2023-11-11 00:00 9.0K
[   ]gtk4-layer-shell-demo-1.0.2-r0.apk2023-11-11 00:00 10K
[   ]gtk4-layer-shell-1.0.2-r0.apk2023-11-11 00:00 15K
[   ]znc-push-0_git20220823-r7.apk2023-11-09 09:43 95K
[   ]znc-playback-0_git20210503-r7.apk2023-11-09 09:43 21K
[   ]znc-clientbuffer-1.0.48-r7.apk2023-11-09 09:43 19K
[   ]znc-backlog-0_git20210503-r7.apk2023-11-09 09:43 22K
[   ]openttd-lang-13.4-r1.apk2023-11-09 09:43 3.3M
[   ]openttd-doc-13.4-r1.apk2023-11-09 09:43 221K
[   ]openttd-13.4-r1.apk2023-11-09 09:43 5.1M
[   ]ding-libs-dev-0.6.2-r4.apk2023-11-09 09:43 68K
[   ]ding-libs-0.6.2-r4.apk2023-11-09 09:43 81K
[   ]dnsfunnel-openrc-0.0.1.6-r0.apk2023-11-06 22:51 1.6K
[   ]dnsfunnel-doc-0.0.1.6-r0.apk2023-11-06 22:51 6.8K
[   ]dnsfunnel-0.0.1.6-r0.apk2023-11-06 22:51 14K
[   ]bcnm-doc-0.0.1.7-r0.apk2023-11-06 22:51 11K
[   ]bcnm-dev-0.0.1.7-r0.apk2023-11-06 22:51 16K
[   ]bcnm-0.0.1.7-r0.apk2023-11-06 22:51 17K
[   ]keepassxc-browser-1.8.9-r0.apk2023-11-06 22:40 876K
[   ]lol-html-dev-1.1.1-r0.apk2023-11-04 14:05 6.3K
[   ]lol-html-1.1.1-r0.apk2023-11-04 14:05 562K
[   ]hx-doc-1.0.14-r0.apk2023-11-04 11:25 4.5K
[   ]hx-1.0.14-r0.apk2023-11-04 11:25 15K
[   ]anari-sdk-static-0.7.2-r0.apk2023-11-04 02:43 193K
[   ]anari-sdk-dev-0.7.2-r0.apk2023-11-04 02:43 58K
[   ]anari-sdk-0.7.2-r0.apk2023-11-04 02:43 297K
[   ]libmysofa-tools-1.3.2-r0.apk2023-11-04 00:43 1.1M
[   ]libmysofa-dev-1.3.2-r0.apk2023-11-04 00:43 6.8K
[   ]libmysofa-1.3.2-r0.apk2023-11-04 00:43 27K
[   ]charls-dev-2.4.2-r0.apk2023-11-03 03:19 26K
[   ]charls-2.4.2-r0.apk2023-11-03 03:19 69K
[   ]qtpass-doc-1.4.0-r0.apk2023-11-02 22:46 1.9K
[   ]qtpass-1.4.0-r0.apk2023-11-02 22:46 424K
[   ]libspatialindex-dev-0_git20210205-r1.apk2023-11-02 21:32 22K
[   ]libspatialindex-0_git20210205-r1.apk2023-11-02 21:32 307K
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r0.apk2023-11-02 12:36 21K
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r0.apk2023-11-02 12:36 716K
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2023-11-02 12:29 3.7K
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2023-11-02 12:29 4.8K
[   ]sacc-doc-1.07-r0.apk2023-11-02 12:01 2.6K
[   ]sacc-1.07-r0.apk2023-11-02 12:01 16K
[   ]tmpmail-doc-1.2.3-r2.apk2023-11-01 11:13 3.0K
[   ]tmpmail-1.2.3-r2.apk2023-11-01 11:13 6.8K
[   ]py3-pyglm-2.7.1-r0.apk2023-10-31 12:09 1.3M
[   ]xfce4-mixer-lang-4.18.1-r2.apk2023-10-31 07:57 59K
[   ]xfce4-mixer-doc-4.18.1-r2.apk2023-10-31 07:57 2.2K
[   ]xfce4-mixer-4.18.1-r2.apk2023-10-31 07:57 89K
[   ]xfce4-mailwatch-plugin-lang-1.3.1-r1.apk2023-10-31 07:57 149K
[   ]xfce4-mailwatch-plugin-1.3.1-r1.apk2023-10-31 07:57 57K
[   ]xdg-user-dirs-gtk-lang-0.11-r2.apk2023-10-31 07:57 50K
[   ]xdg-user-dirs-gtk-0.11-r2.apk2023-10-31 07:57 15K
[   ]mint-x-icons-doc-1.6.5-r1.apk2023-10-31 07:57 6.9K
[   ]mint-x-icons-1.6.5-r1.apk2023-10-31 07:57 23M
[   ]game-devices-udev-0.22-r2.apk2023-10-31 07:57 6.6K
[   ]freedoom-doc-0.12.1-r2.apk2023-10-31 07:57 58K
[   ]freedoom-0.12.1-r2.apk2023-10-31 07:57 12M
[   ]wabt-doc-1.0.34-r0.apk2023-10-31 00:12 13K
[   ]wabt-1.0.34-r0.apk2023-10-31 00:12 5.0M
[   ]libcorkipset-tools-1.1.1-r4.apk2023-10-30 11:34 16K
[   ]libcorkipset-dev-1.1.1-r4.apk2023-10-30 11:34 7.8K
[   ]libcorkipset-1.1.1-r4.apk2023-10-30 11:34 15K
[   ]plib-1.8.5-r3.apk2023-10-30 01:36 945K
[   ]dbus-waiter-0.2.0-r0.apk2023-10-29 13:14 730K
[   ]py3-wsgiprox-pyc-1.5.2-r0.apk2023-10-29 01:53 29K
[   ]py3-wsgiprox-1.5.2-r0.apk2023-10-29 01:53 16K
[   ]libcotp-dev-2.0.2-r0.apk2023-10-28 11:40 2.3K
[   ]libcotp-2.0.2-r0.apk2023-10-28 11:40 7.9K
[   ]zutty-0.14-r0.apk2023-10-27 07:37 152K
[   ]youtube-tui-0.8.0-r0.apk2023-10-26 15:55 1.4M
[   ]ndpi-dev-4.8-r0.apk2023-10-24 08:35 952K
[   ]ndpi-4.8-r0.apk2023-10-24 08:35 1.5M
[   ]uasm-2.56.2-r0.apk2023-10-23 04:07 282K
[   ]qt6ct-0.9-r0.apk2023-10-23 04:07 198K
[   ]java-jtreg-7.3.1_p1-r0.apk2023-10-22 10:34 4.4M
[   ]fplll-strategies-5.4.5-r0.apk2023-10-22 10:13 1.7M
[   ]fplll-static-5.4.5-r0.apk2023-10-22 10:13 9.5M
[   ]fplll-libs-5.4.5-r0.apk2023-10-22 10:13 8.5M
[   ]fplll-dev-5.4.5-r0.apk2023-10-22 10:13 79K
[   ]fplll-5.4.5-r0.apk2023-10-22 10:13 56K
[   ]rdedup-3.2.1-r4.apk2023-10-22 06:58 1.1M
[   ]fastd-openrc-22-r3.apk2023-10-22 06:58 1.5K
[   ]fastd-doc-22-r3.apk2023-10-22 06:58 3.1K
[   ]fastd-22-r3.apk2023-10-22 06:58 73K
[   ]openfpgaloader-0.11.0-r0.apk2023-10-21 10:35 2.0M
[   ]py3-certauth-pyc-1.3.0-r0.apk2023-10-21 10:18 9.4K
[   ]py3-certauth-1.3.0-r0.apk2023-10-21 10:18 8.5K
[   ]libbamf-doc-0.5.6-r1.apk2023-10-20 09:21 31K
[   ]libbamf-dev-0.5.6-r1.apk2023-10-20 09:21 6.2K
[   ]libbamf-0.5.6-r1.apk2023-10-20 09:21 160K
[   ]nitro-dev-2.7_beta8-r2.apk2023-10-19 18:18 190K
[   ]nitro-2.7_beta8-r2.apk2023-10-19 18:18 528K
[   ]mat2-pyc-0.13.4-r1.apk2023-10-19 18:18 56K
[   ]mat2-doc-0.13.4-r1.apk2023-10-19 18:18 7.5K
[   ]mat2-0.13.4-r1.apk2023-10-19 18:18 32K
[   ]dex-doc-0.9.0-r1.apk2023-10-19 18:18 5.6K
[   ]dex-0.9.0-r1.apk2023-10-19 18:18 7.7K
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2023-10-19 18:18 834K
[   ]libuecc-dev-7-r3.apk2023-10-18 18:23 4.5K
[   ]libuecc-7-r3.apk2023-10-18 18:23 10K
[   ]rizin-libs-0.6.3-r0.apk2023-10-18 17:52 4.5M
[   ]rizin-doc-0.6.3-r0.apk2023-10-18 17:52 17K
[   ]rizin-dev-0.6.3-r0.apk2023-10-18 17:52 306K
[   ]rizin-0.6.3-r0.apk2023-10-18 17:52 2.7M
[   ]kdiskmark-lang-3.1.4-r1.apk2023-10-17 18:44 26K
[   ]kdiskmark-3.1.4-r1.apk2023-10-17 18:44 164K
[   ]perl-test-toolbox-doc-0.4-r5.apk2023-10-17 13:19 5.9K
[   ]perl-test-toolbox-0.4-r5.apk2023-10-17 13:19 9.6K
[   ]perl-number-misc-doc-1.2-r5.apk2023-10-17 13:19 4.1K
[   ]perl-number-misc-1.2-r5.apk2023-10-17 13:19 4.9K
[   ]wmutils-doc-1.7-r1.apk2023-10-15 15:20 8.3K
[   ]wmutils-1.7-r1.apk2023-10-15 15:20 56K
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2023-10-15 15:20 287K
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2023-10-15 15:20 1.5K
[   ]phoronix-test-suite-10.8.4-r2.apk2023-10-15 15:20 3.9M
[   ]khronos-lang-4.0.1-r0.apk2023-10-15 15:20 25K
[   ]khronos-4.0.1-r0.apk2023-10-15 15:20 55K
[   ]pnmixer-lang-0.7.2-r3.apk2023-10-11 19:35 25K
[   ]pnmixer-doc-0.7.2-r3.apk2023-10-11 19:35 2.0K
[   ]pnmixer-0.7.2-r3.apk2023-10-11 19:35 143K
[   ]video-trimmer-lang-0.8.2-r0.apk2023-10-09 16:56 83K
[   ]video-trimmer-0.8.2-r0.apk2023-10-09 16:56 816K
[   ]harminv-libs-1.4.2-r1.apk2023-10-08 19:25 13K
[   ]harminv-doc-1.4.2-r1.apk2023-10-08 19:25 5.4K
[   ]harminv-dev-1.4.2-r1.apk2023-10-08 19:25 2.9K
[   ]harminv-1.4.2-r1.apk2023-10-08 19:25 8.1K
[   ]lipstick-asteroidos-tools-2.0.0-r1.apk2023-10-08 12:48 23K
[   ]lipstick-asteroidos-dev-2.0.0-r1.apk2023-10-08 12:48 29K
[   ]lipstick-asteroidos-dbg-2.0.0-r1.apk2023-10-08 12:48 14M
[   ]lipstick-asteroidos-2.0.0-r1.apk2023-10-08 12:48 309K
[   ]libtommath-dev-1.2.1-r0.apk2023-10-06 18:21 71K
[   ]libtommath-1.2.1-r0.apk2023-10-06 18:21 44K
[   ]icmake-doc-9.03.01-r0.apk2023-10-06 17:44 127K
[   ]icmake-9.03.01-r0.apk2023-10-06 17:44 134K
[   ]bobcat-doc-4.09.00-r0.apk2023-10-06 09:04 345K
[   ]bobcat-dev-4.09.00-r0.apk2023-10-06 09:04 712K
[   ]bobcat-4.09.00-r0.apk2023-10-06 09:04 709K
[   ]wroomd-openrc-0.1.0-r0.apk2023-10-06 08:01 1.4K
[   ]wroomd-0.1.0-r0.apk2023-10-06 08:01 1.0M
[   ]bananui-dev-2.0.0-r0.apk2023-10-06 08:01 87K
[   ]bananui-demos-2.0.0-r0.apk2023-10-06 08:01 11K
[   ]bananui-dbg-2.0.0-r0.apk2023-10-06 08:01 169K
[   ]bananui-daemons-0.1.0-r0.apk2023-10-06 08:01 47K
[   ]bananui-clock-0.1.0-r0.apk2023-10-06 08:01 7.4K
[   ]bananui-2.0.0-r0.apk2023-10-06 08:01 57K
[   ]alarmwakeup-utils-0.2.1-r0.apk2023-10-06 08:01 4.4K
[   ]alarmwakeup-libs-0.2.1-r0.apk2023-10-06 08:01 4.7K
[   ]alarmwakeup-dev-0.2.1-r0.apk2023-10-06 08:01 2.3K
[   ]alarmwakeup-dbg-0.2.1-r0.apk2023-10-06 08:01 17K
[   ]alarmwakeup-0.2.1-r0.apk2023-10-06 08:01 7.1K
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r0.apk2023-10-06 00:34 21K
[   ]py3-imageio-ffmpeg-0.4.9-r0.apk2023-10-06 00:34 16K
[   ]rathole-0.5.0-r0.apk2023-10-06 00:20 1.4M
[   ]dumb_runtime_dir-1.0.4-r2.apk2023-10-05 10:30 3.6K
[   ]iipsrv-openrc-1.2-r0.apk2023-10-05 07:25 1.7K
[   ]iipsrv-lighttpd-1.2-r0.apk2023-10-05 07:25 1.4K
[   ]iipsrv-doc-1.2-r0.apk2023-10-05 07:25 6.1K
[   ]iipsrv-apache-1.2-r0.apk2023-10-05 07:25 1.4K
[   ]iipsrv-1.2-r0.apk2023-10-05 07:25 122K
[   ]postgresql-pg_variables-bitcode-1.2.4_git20220909-r2.apk2023-10-04 01:25 55K
[   ]postgresql-pg_variables-1.2.4_git20220909-r2.apk2023-10-04 01:25 25K
[   ]scrypt-doc-1.3.2-r0.apk2023-10-03 11:43 4.0K
[   ]scrypt-1.3.2-r0.apk2023-10-03 11:43 26K
[   ]helvum-0.5.1-r0.apk2023-09-30 17:59 341K
[   ]netdiscover-doc-0.10-r0.apk2023-09-30 01:01 22K
[   ]netdiscover-0.10-r0.apk2023-09-30 01:01 412K
[   ]rust-script-0.34.0-r0.apk2023-09-29 17:19 925K
[   ]libhwpwm-doc-0.4.4-r0.apk2023-09-29 17:19 13K
[   ]libhwpwm-dev-0.4.4-r0.apk2023-09-29 17:19 5.8K
[   ]libhwpwm-0.4.4-r0.apk2023-09-29 17:19 5.7K
[   ]bonzomatic-20230615-r0.apk2023-09-29 17:19 667K
[   ]mp3gain-1.6.2-r2.apk2023-09-25 21:27 32K
[   ]ecasound-doc-2.9.3-r3.apk2023-09-25 21:27 38K
[   ]ecasound-dev-2.9.3-r3.apk2023-09-25 21:27 1.2M
[   ]ecasound-2.9.3-r3.apk2023-09-25 21:27 709K
[   ]kjv-0_git20221103-r0.apk2023-09-25 06:50 1.5M
[   ]plots-0.7.0-r0.apk2023-09-25 06:43 506K
[   ]cutechess-doc-1.3.1-r0.apk2023-09-24 20:37 3.3K
[   ]cutechess-cli-doc-1.3.1-r0.apk2023-09-24 20:37 6.3K
[   ]cutechess-cli-1.3.1-r0.apk2023-09-24 20:37 344K
[   ]cutechess-1.3.1-r0.apk2023-09-24 20:37 1.1M
[   ]pegtl-doc-3.2.7-r0.apk2023-09-21 23:54 2.0K
[   ]pegtl-3.2.7-r0.apk2023-09-21 23:54 83K
[   ]m17n-lib-tools-1.8.4-r1.apk2023-09-19 23:48 43K
[   ]m17n-lib-dev-1.8.4-r1.apk2023-09-19 23:48 39K
[   ]m17n-lib-1.8.4-r1.apk2023-09-19 23:48 166K
[   ]libm17n-flt-1.8.4-r1.apk2023-09-19 23:48 21K
[   ]libm17n-core-1.8.4-r1.apk2023-09-19 23:48 60K
[   ]neard-openrc-0.19-r0.apk2023-09-19 21:51 1.4K
[   ]neard-doc-0.19-r0.apk2023-09-19 21:51 5.3K
[   ]neard-dev-0.19-r0.apk2023-09-19 21:51 11K
[   ]neard-0.19-r0.apk2023-09-19 21:51 136K
[   ]ibus-rime-1.5.0-r1.apk2023-09-18 13:42 25K
[   ]3proxy-openrc-0.9.4-r0.apk2023-09-18 07:37 1.4K
[   ]3proxy-doc-0.9.4-r0.apk2023-09-18 07:37 25K
[   ]3proxy-0.9.4-r0.apk2023-09-18 07:37 375K
[   ]libuninameslist-doc-20230916-r0.apk2023-09-18 06:50 1.8K
[   ]libuninameslist-dev-20230916-r0.apk2023-09-18 06:50 3.2K
[   ]libuninameslist-20230916-r0.apk2023-09-18 06:50 376K
[   ]font-intel-one-mono-1.3.0-r0.apk2023-09-17 18:05 281K
[   ]dublin-traceroute-doc-0.4.2-r3.apk2023-09-16 23:20 2.1K
[   ]dublin-traceroute-dev-0.4.2-r3.apk2023-09-16 23:20 6.7K
[   ]dublin-traceroute-contrib-0.4.2-r3.apk2023-09-16 23:20 2.6K
[   ]dublin-traceroute-0.4.2-r3.apk2023-09-16 23:20 49K
[   ]log4cxx-dev-1.1.0-r1.apk2023-09-16 12:06 142K
[   ]log4cxx-1.1.0-r1.apk2023-09-16 12:06 546K
[   ]perl-starman-doc-0.4017-r0.apk2023-09-14 12:34 9.7K
[   ]perl-starman-0.4017-r0.apk2023-09-14 12:34 13K
[   ]openssl1.1-compat-libs-static-1.1.1w-r0.apk2023-09-12 13:29 6.3M
[   ]openssl1.1-compat-dev-1.1.1w-r0.apk2023-09-12 13:29 261K
[   ]openssl1.1-compat-dbg-1.1.1w-r0.apk2023-09-12 13:29 3.4M
[   ]openssl1.1-compat-1.1.1w-r0.apk2023-09-12 13:29 238K
[   ]libssl1.1-1.1.1w-r0.apk2023-09-12 13:29 200K
[   ]libcrypto1.1-1.1.1w-r0.apk2023-09-12 13:29 1.0M
[   ]devil-dev-1.8.0-r0.apk2023-09-12 12:21 13K
[   ]devil-1.8.0-r0.apk2023-09-12 12:21 286K
[   ]grcov-0.8.19-r1.apk2023-09-11 16:52 1.8M
[   ]way-displays-doc-1.8.1-r2.apk2023-09-11 11:14 3.8K
[   ]way-displays-1.8.1-r2.apk2023-09-11 11:14 84K
[   ]svgbob-0.7.2-r0.apk2023-09-09 15:20 475K
[   ]termusic-mpv-0.7.11-r0.apk2023-09-06 17:47 6.3M
[   ]boxes-doc-2.2.1-r0.apk2023-09-06 15:12 6.6K
[   ]boxes-2.2.1-r0.apk2023-09-06 15:12 63K
[   ]bees-openrc-0.10-r0.apk2023-09-05 14:00 1.7K
[   ]bees-0.10-r0.apk2023-09-05 14:00 592K
[   ]theme.sh-doc-1.1.5-r0.apk2023-09-05 11:47 2.1K
[   ]theme.sh-1.1.5-r0.apk2023-09-05 11:47 39K
[   ]speedtest-examples-5.2.5-r1.apk2023-09-04 14:02 13K
[   ]speedtest-doc-5.2.5-r1.apk2023-09-04 14:02 18K
[   ]speedtest-5.2.5-r1.apk2023-09-04 14:02 253K
[   ]wget2-libs-2.1.0-r0.apk2023-09-04 11:48 217K
[   ]wget2-doc-2.1.0-r0.apk2023-09-04 11:48 70K
[   ]wget2-dev-2.1.0-r0.apk2023-09-04 11:48 1.0M
[   ]wget2-dbg-2.1.0-r0.apk2023-09-04 11:48 779K
[   ]wget2-2.1.0-r0.apk2023-09-04 11:48 114K
[   ]perl-email-abstract-doc-3.010-r0.apk2023-09-03 13:03 13K
[   ]perl-email-abstract-3.010-r0.apk2023-09-03 13:03 7.4K
[   ]turnstile-openrc-0.1.8-r0.apk2023-09-03 06:03 1.6K
[   ]turnstile-doc-0.1.8-r0.apk2023-09-03 06:03 5.5K
[   ]turnstile-0.1.8-r0.apk2023-09-03 06:03 35K
[   ]tcmu-runner-rbd-1.6.0-r5.apk2023-09-01 10:03 13K
[   ]tcmu-runner-doc-1.6.0-r5.apk2023-09-01 10:03 2.3K
[   ]tcmu-runner-1.6.0-r5.apk2023-09-01 10:03 91K
[   ]libtcmu-dev-1.6.0-r5.apk2023-09-01 10:03 1.3K
[   ]libtcmu-1.6.0-r5.apk2023-09-01 10:03 38K
[   ]asteroid-wallpapers-2.0.0-r0.apk2023-09-01 08:32 5.5M
[   ]asteroid-icons-ion-2.0.0-r0.apk2023-09-01 08:32 223K
[   ]asteroid-btsyncd-2.0.0-r0.apk2023-09-01 08:32 81K
[   ]sway-audio-idle-inhibit-0.1.1_git20230809-r0.apk2023-08-31 12:46 10K
[   ]qml-asteroid-dev-2.0.0-r0.apk2023-08-31 11:43 11K
[   ]qml-asteroid-dbg-2.0.0-r0.apk2023-08-31 11:43 1.9M
[   ]qml-asteroid-2.0.0-r0.apk2023-08-31 11:43 72K
[   ]asteroid-weather-2.0.0-r0.apk2023-08-31 11:43 19K
[   ]asteroid-timer-2.0.0-r0.apk2023-08-31 11:43 12K
[   ]asteroid-stopwatch-2.0.0-r0.apk2023-08-31 11:43 12K
[   ]asteroid-settings-2.0.0-r0.apk2023-08-31 11:43 89K
[   ]asteroid-music-2.0.0-r0.apk2023-08-31 11:43 14K
[   ]asteroid-launcher-dbg-2.0.0-r0.apk2023-08-31 11:43 933K
[   ]asteroid-launcher-2.0.0-r0.apk2023-08-31 11:43 1.7M
[   ]asteroid-hrm-2.0.0-r0.apk2023-08-31 11:43 14K
[   ]asteroid-flashlight-2.0.0-r0.apk2023-08-31 11:43 11K
[   ]asteroid-diamonds-2.0.0-r0.apk2023-08-31 11:43 17K
[   ]asteroid-compass-2.0.0-r0.apk2023-08-31 11:43 13K
[   ]asteroid-camera-2.0.0-r0.apk2023-08-31 11:43 4.7K
[   ]asteroid-calendar-2.0.0-r0.apk2023-08-31 11:43 22K
[   ]asteroid-calculator-2.0.0-r0.apk2023-08-31 11:43 16K
[   ]asteroid-alarmclock-2.0.0-r0.apk2023-08-31 11:43 30K
[   ]elfio-dev-3.12-r0.apk2023-08-30 06:54 55K
[   ]elfio-3.12-r0.apk2023-08-30 06:54 1.2K
[   ]noson-app-dbg-5.4.1-r1.apk2023-08-28 14:01 13M
[   ]noson-app-5.4.1-r1.apk2023-08-28 14:01 1.3M
[   ]jdupes-doc-1.27.3-r0.apk2023-08-27 22:24 7.7K
[   ]jdupes-1.27.3-r0.apk2023-08-27 22:24 28K
[   ]tere-doc-1.5.1-r0.apk2023-08-26 18:39 14K
[   ]tere-1.5.1-r0.apk2023-08-26 18:39 1.0M
[   ]libofx-tools-0.10.9-r1.apk2023-08-26 13:17 105K
[   ]libofx-dev-0.10.9-r1.apk2023-08-26 13:17 19K
[   ]libofx-0.10.9-r1.apk2023-08-26 13:17 63K
[   ]tintin-2.02.31-r0.apk2023-08-26 13:00 1.8M
[   ]sqm-scripts-1.6.0-r0.apk2023-08-22 22:01 20K
[   ]flatpak-xdg-utils-1.0.5-r0.apk2023-08-22 17:57 23K
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2023-08-22 12:23 3.0K
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2023-08-22 12:23 1.9K
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2023-08-22 12:23 20K
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2023-08-21 12:45 1.5K
[   ]avra-dev-1.4.2-r0.apk2023-08-21 07:36 254K
[   ]avra-1.4.2-r0.apk2023-08-21 07:36 40K
[   ]xmp-doc-4.2.0-r0.apk2023-08-20 23:54 5.1K
[   ]xmp-4.2.0-r0.apk2023-08-20 23:54 23K
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2023-08-19 08:40 89K
[   ]mongo-cxx-driver-3.8.0-r0.apk2023-08-19 08:40 187K
[   ]libbsoncxx-dev-3.8.0-r0.apk2023-08-19 08:40 38K
[   ]libbsoncxx-3.8.0-r0.apk2023-08-19 08:40 46K
[   ]wol-lang-0.7.1-r2.apk2023-08-17 19:12 7.9K
[   ]wol-doc-0.7.1-r2.apk2023-08-17 19:12 5.3K
[   ]wol-0.7.1-r2.apk2023-08-17 19:12 26K
[   ]queercat-1.0.0-r0.apk2023-08-16 12:28 8.0K
[   ]ifuse-doc-1.1.4-r4.apk2023-08-13 21:16 2.1K
[   ]ifuse-1.1.4-r4.apk2023-08-13 21:16 10K
[   ]percona-toolkit-doc-3.5.4-r0.apk2023-08-13 18:27 297K
[   ]percona-toolkit-3.5.4-r0.apk2023-08-13 18:27 1.8M
[   ]wiki-tui-doc-0.8.2-r0.apk2023-08-12 08:07 4.4K
[   ]wiki-tui-0.8.2-r0.apk2023-08-12 08:07 1.6M
[   ]zsh-manydots-magic-0_git20230607-r1.apk2023-08-12 01:39 2.6K
[   ]perl-number-tolerant-doc-1.710-r0.apk2023-08-10 13:44 25K
[   ]perl-number-tolerant-1.710-r0.apk2023-08-10 13:44 15K
[   ]igrep-doc-1.2.0-r0.apk2023-08-09 17:40 3.9K
[   ]igrep-1.2.0-r0.apk2023-08-09 17:40 1.7M
[   ]starfighter-doc-2.4-r0.apk2023-08-08 23:08 21K
[   ]starfighter-2.4-r0.apk2023-08-08 23:08 48M
[   ]rauc-service-1.10.1-r0.apk2023-08-08 19:42 3.5K
[   ]rauc-doc-1.10.1-r0.apk2023-08-08 19:42 3.9K
[   ]rauc-1.10.1-r0.apk2023-08-08 19:42 144K
[   ]zarchive-libs-0.1.2-r2.apk2023-08-07 22:54 26K
[   ]zarchive-dev-0.1.2-r2.apk2023-08-07 22:54 6.5K
[   ]zarchive-0.1.2-r2.apk2023-08-07 22:54 17K
[   ]gatling-openrc-0.16-r4.apk2023-08-07 22:54 2.5K
[   ]gatling-doc-0.16-r4.apk2023-08-07 22:54 8.8K
[   ]gatling-0.16-r4.apk2023-08-07 22:54 150K
[   ]rtmidi-dev-6.0.0-r0.apk2023-08-07 11:02 14K
[   ]rtmidi-6.0.0-r0.apk2023-08-07 11:02 31K
[   ]xfce4-verve-plugin-lang-2.0.3-r0.apk2023-08-07 11:01 40K
[   ]xfce4-verve-plugin-2.0.3-r0.apk2023-08-07 11:01 20K
[   ]thelounge-openrc-4.4.1-r0.apk2023-08-07 07:56 1.5K
[   ]thelounge-4.4.1-r0.apk2023-08-07 07:56 16M
[   ]fakeroot-tcp-1.32.1-r1.apk2023-08-05 19:12 30K
[   ]toml2json-doc-1.3.1-r0.apk2023-08-05 12:41 3.0K
[   ]toml2json-1.3.1-r0.apk2023-08-05 12:41 390K
[   ]xfce4-netload-plugin-lang-1.4.1-r0.apk2023-08-04 17:01 44K
[   ]xfce4-netload-plugin-1.4.1-r0.apk2023-08-04 17:01 27K
[   ]xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk2023-08-03 22:00 20K
[   ]xfce4-smartbookmark-plugin-0.5.2-r0.apk2023-08-03 22:00 10K
[   ]libqb-tools-2.0.8-r0.apk2023-08-03 22:00 3.4K
[   ]libqb-doc-2.0.8-r0.apk2023-08-03 22:00 156K
[   ]libqb-dev-2.0.8-r0.apk2023-08-03 22:00 28K
[   ]libqb-2.0.8-r0.apk2023-08-03 22:00 66K
[   ]xfce4-fsguard-plugin-lang-1.1.3-r0.apk2023-08-03 09:35 30K
[   ]xfce4-fsguard-plugin-1.1.3-r0.apk2023-08-03 09:35 53K
[   ]xfce4-diskperf-plugin-lang-2.7.0-r0.apk2023-08-03 07:00 50K
[   ]xfce4-diskperf-plugin-2.7.0-r0.apk2023-08-03 07:00 17K
[   ]xfce4-mpc-plugin-lang-0.5.3-r0.apk2023-08-02 10:08 38K
[   ]xfce4-mpc-plugin-0.5.3-r0.apk2023-08-02 10:08 20K
[   ]surfraw-doc-2.3.0-r0.apk2023-08-01 22:41 17K
[   ]surfraw-2.3.0-r0.apk2023-08-01 22:41 78K
[   ]xmag-doc-1.0.7-r1.apk2023-08-01 14:38 4.5K
[   ]xmag-1.0.7-r1.apk2023-08-01 14:38 17K
[   ]xboard-lang-4.9.1-r2.apk2023-08-01 14:38 226K
[   ]xboard-doc-4.9.1-r2.apk2023-08-01 14:38 170K
[   ]xboard-4.9.1-r2.apk2023-08-01 14:38 785K
[   ]singular-static-4.3.2-r2.apk2023-08-01 14:38 6.4M
[   ]singular-emacs-4.3.2-r2.apk2023-08-01 14:38 100K
[   ]singular-doc-4.3.2-r2.apk2023-08-01 14:38 1.3M
[   ]singular-dev-4.3.2-r2.apk2023-08-01 14:38 370K
[   ]singular-4.3.2-r2.apk2023-08-01 14:38 11M
[   ]rankwidth-static-0.9-r3.apk2023-08-01 14:38 4.8K
[   ]rankwidth-libs-0.9-r3.apk2023-08-01 14:38 5.5K
[   ]rankwidth-doc-0.9-r3.apk2023-08-01 14:38 2.8K
[   ]rankwidth-dev-0.9-r3.apk2023-08-01 14:38 2.7K
[   ]rankwidth-0.9-r3.apk2023-08-01 14:38 6.8K
[   ]polyglot-doc-2.0.4-r1.apk2023-08-01 14:38 47K
[   ]polyglot-2.0.4-r1.apk2023-08-01 14:38 68K
[   ]plfit-static-0.9.4-r2.apk2023-08-01 14:38 48K
[   ]plfit-libs-0.9.4-r2.apk2023-08-01 14:38 39K
[   ]plfit-dev-0.9.4-r2.apk2023-08-01 14:38 6.0K
[   ]plfit-0.9.4-r2.apk2023-08-01 14:38 55K
[   ]planarity-libs-3.0.2.0-r2.apk2023-08-01 14:38 83K
[   ]planarity-doc-3.0.2.0-r2.apk2023-08-01 14:38 13K
[   ]planarity-dev-3.0.2.0-r2.apk2023-08-01 14:38 19K
[   ]planarity-3.0.2.0-r2.apk2023-08-01 14:38 10K
[   ]palp-2.20-r1.apk2023-08-01 14:38 5.9M
[   ]openslide-tools-3.4.1-r3.apk2023-08-01 14:38 14K
[   ]openslide-doc-3.4.1-r3.apk2023-08-01 14:38 4.7K
[   ]openslide-dev-3.4.1-r3.apk2023-08-01 14:38 6.6K
[   ]openslide-3.4.1-r3.apk2023-08-01 14:38 84K
[   ]mcqd-dev-1.0.0-r1.apk2023-08-01 14:38 3.8K
[   ]mcqd-1.0.0-r1.apk2023-08-01 14:38 15K
[   ]libzn_poly-static-0.9.2-r2.apk2023-08-01 14:38 54K
[   ]libzn_poly-dev-0.9.2-r2.apk2023-08-01 14:38 7.9K
[   ]libzn_poly-0.9.2-r2.apk2023-08-01 14:38 50K
[   ]libsymmetrica-static-3.0.1-r2.apk2023-08-01 14:38 5.2M
[   ]libsymmetrica-dev-3.0.1-r2.apk2023-08-01 14:38 32K
[   ]libsymmetrica-3.0.1-r2.apk2023-08-01 14:38 4.1M
[   ]libsirocco-dev-2.1.0-r2.apk2023-08-01 14:38 1.6K
[   ]libsirocco-2.1.0-r2.apk2023-08-01 14:38 64K
[   ]libntl-static-11.5.1-r3.apk2023-08-01 14:38 1.8M
[   ]libntl-doc-11.5.1-r3.apk2023-08-01 14:38 373K
[   ]libntl-dev-11.5.1-r3.apk2023-08-01 14:38 159K
[   ]libntl-11.5.1-r3.apk2023-08-01 14:38 1.2M
[   ]libmpfi-static-1.5.4-r2.apk2023-08-01 14:38 57K
[   ]libmpfi-doc-1.5.4-r2.apk2023-08-01 14:38 18K
[   ]libmpfi-dev-1.5.4-r2.apk2023-08-01 14:38 5.1K
[   ]libmpfi-1.5.4-r2.apk2023-08-01 14:38 40K
[   ]libm4rie-static-20200125-r3.apk2023-08-01 14:38 334K
[   ]libm4rie-dev-20200125-r3.apk2023-08-01 14:38 25K
[   ]libm4rie-20200125-r3.apk2023-08-01 14:38 307K
[   ]libm4ri-static-20200125-r4.apk2023-08-01 14:38 178K
[   ]libm4ri-dev-20200125-r4.apk2023-08-01 14:38 33K
[   ]libm4ri-20200125-r4.apk2023-08-01 14:38 160K
[   ]liblinbox-static-1.7.0-r3.apk2023-08-01 14:38 227K
[   ]liblinbox-doc-1.7.0-r3.apk2023-08-01 14:38 1.9K
[   ]liblinbox-dev-1.7.0-r3.apk2023-08-01 14:38 846K
[   ]liblinbox-1.7.0-r3.apk2023-08-01 14:38 204K
[   ]libiml-static-1.0.5-r3.apk2023-08-01 14:38 85K
[   ]libiml-dev-1.0.5-r3.apk2023-08-01 14:38 3.7K
[   ]libiml-1.0.5-r3.apk2023-08-01 14:38 83K
[   ]libgivaro-static-4.2.0-r2.apk2023-08-01 14:38 99K
[   ]libgivaro-dev-4.2.0-r2.apk2023-08-01 14:38 244K
[   ]libgivaro-4.2.0-r2.apk2023-08-01 14:38 79K
[   ]libbraiding-dev-1.2-r2.apk2023-08-01 14:38 15K
[   ]libbraiding-1.2-r2.apk2023-08-01 14:38 51K
[   ]libarb-static-2.23.0-r2.apk2023-08-01 14:38 2.3M
[   ]libarb-dev-2.23.0-r2.apk2023-08-01 14:38 54K
[   ]libarb-2.23.0-r2.apk2023-08-01 14:38 1.7M
[   ]k2-0_git20220807-r1.apk2023-08-01 14:38 100K
[   ]gfan-0.6.2-r1.apk2023-08-01 14:38 1.8M
[   ]flintqs-1.0-r1.apk2023-08-01 14:37 22K
[   ]fflas-ffpack-2.5.0-r3.apk2023-08-01 14:37 345K
[   ]fast_float-5.2.0-r1.apk2023-08-01 14:37 43K
[   ]coxeter-libs-3.0-r1.apk2023-08-01 14:37 339K
[   ]coxeter-dev-3.0-r1.apk2023-08-01 14:37 56K
[   ]coxeter-3.0-r1.apk2023-08-01 14:37 49K
[   ]cliquer-tests-1.22-r2.apk2023-08-01 14:37 24K
[   ]cliquer-static-1.22-r2.apk2023-08-01 14:37 36K
[   ]cliquer-libs-1.22-r2.apk2023-08-01 14:37 28K
[   ]cliquer-dev-1.22-r2.apk2023-08-01 14:37 7.2K
[   ]cliquer-1.22-r2.apk2023-08-01 14:37 7.5K
[   ]cddlib-tools-0.94m-r2.apk2023-08-01 14:37 99K
[   ]cddlib-static-0.94m-r2.apk2023-08-01 14:37 307K
[   ]cddlib-doc-0.94m-r2.apk2023-08-01 14:37 863K
[   ]cddlib-dev-0.94m-r2.apk2023-08-01 14:37 14K
[   ]cddlib-0.94m-r2.apk2023-08-01 14:37 216K
[   ]bliss-dev-0.77-r1.apk2023-08-01 14:37 119K
[   ]bliss-0.77-r1.apk2023-08-01 14:37 81K
[   ]paprefs-lang-1.2-r1.apk2023-08-01 06:56 39K
[   ]paprefs-1.2-r1.apk2023-08-01 06:56 31K
[   ]librespot-openrc-0.4.2-r4.apk2023-08-01 06:56 1.7K
[   ]librespot-0.4.2-r4.apk2023-08-01 06:56 1.3M
[   ]xisxwayland-doc-2-r1.apk2023-07-29 22:03 1.7K
[   ]xisxwayland-2-r1.apk2023-07-29 22:03 4.1K
[   ]sudo-ldap-1.9.14-r1.apk2023-07-29 22:02 695K
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2023-07-29 22:02 1.5K
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2023-07-29 22:02 2.1K
[   ]soapy-sdr-remote-0.5.2-r1.apk2023-07-29 22:02 204K
[   ]soapy-hackrf-0.3.4-r2.apk2023-07-29 22:02 30K
[   ]sndfile-tools-doc-1.5-r1.apk2023-07-29 22:02 361K
[   ]sndfile-tools-1.5-r1.apk2023-07-29 22:02 49K
[   ]simpleble-dev-0.6.1-r1.apk2023-07-29 22:02 21K
[   ]simpleble-0.6.1-r1.apk2023-07-29 22:02 1.2K
[   ]libsimplebluez-0.6.1-r1.apk2023-07-29 22:02 129K
[   ]libsimpleble-c-0.6.1-r1.apk2023-07-29 22:02 16K
[   ]libsimpleble-0.6.1-r1.apk2023-07-29 22:02 183K
[   ]libjodycode-doc-3.1-r1.apk2023-07-29 22:02 3.2K
[   ]libjodycode-dev-3.1-r1.apk2023-07-29 22:02 4.0K
[   ]libjodycode-3.1-r1.apk2023-07-29 22:02 7.8K
[   ]libimobiledevice-glue-dev-1.0.0-r1.apk2023-07-29 22:02 5.6K
[   ]libimobiledevice-glue-1.0.0-r1.apk2023-07-29 22:02 16K
[   ]libaudec-tools-0.3.4-r3.apk2023-07-29 22:02 28K
[   ]libaudec-static-0.3.4-r3.apk2023-07-29 22:02 32K
[   ]libaudec-dev-0.3.4-r3.apk2023-07-29 22:02 4.0K
[   ]libaudec-0.3.4-r3.apk2023-07-29 22:02 28K
[   ]kbs2-zsh-completion-0.7.2-r3.apk2023-07-29 22:02 3.7K
[   ]kbs2-fish-completion-0.7.2-r3.apk2023-07-29 22:02 3.0K
[   ]kbs2-bash-completion-0.7.2-r3.apk2023-07-29 22:02 2.9K
[   ]kbs2-0.7.2-r3.apk2023-07-29 22:02 1.1M
[   ]jalv-gtk-1.6.8-r1.apk2023-07-29 22:02 34K
[   ]jalv-doc-1.6.8-r1.apk2023-07-29 22:02 2.9K
[   ]jalv-1.6.8-r1.apk2023-07-29 22:02 52K
[   ]irccd-openrc-4.0.3-r0.apk2023-07-29 22:02 1.6K
[   ]irccd-doc-4.0.3-r0.apk2023-07-29 22:02 80K
[   ]irccd-dev-4.0.3-r0.apk2023-07-29 22:02 9.4K
[   ]irccd-4.0.3-r0.apk2023-07-29 22:02 280K
[   ]eboard-doc-1.1.3-r1.apk2023-07-29 22:02 4.4K
[   ]eboard-1.1.3-r1.apk2023-07-29 22:02 1.5M
[   ]cvise-pyc-2.8.0-r2.apk2023-07-29 22:02 63K
[   ]cvise-2.8.0-r2.apk2023-07-29 22:02 6.1M
[   ]ansiweather-doc-1.19.0-r1.apk2023-07-29 22:02 2.8K
[   ]ansiweather-1.19.0-r1.apk2023-07-29 22:02 4.5K
[   ]xone-src-0.3_git20230517-r0.apk2023-07-26 08:23 43K
[   ]rtw89-src-7_p20230725-r0.apk2023-07-26 08:23 759K
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2023-07-26 08:23 4.0M
[   ]extremetuxracer-doc-0.8.3-r0.apk2023-07-25 15:04 6.4K
[   ]extremetuxracer-0.8.3-r0.apk2023-07-25 15:04 40M
[   ]jhead-doc-3.08-r0.apk2023-07-23 15:58 7.6K
[   ]jhead-3.08-r0.apk2023-07-23 15:58 33K
[   ]tang-openrc-14-r0.apk2023-07-23 15:56 1.7K
[   ]tang-doc-14-r0.apk2023-07-23 15:56 20K
[   ]tang-dbg-14-r0.apk2023-07-23 15:56 29K
[   ]tang-14-r0.apk2023-07-23 15:56 16K
[   ]json2tsv-jaq-doc-1.1-r0.apk2023-07-22 15:54 2.1K
[   ]json2tsv-jaq-1.1-r0.apk2023-07-22 15:54 1.7K
[   ]json2tsv-doc-1.1-r0.apk2023-07-22 15:54 5.0K
[   ]json2tsv-1.1-r0.apk2023-07-22 15:54 6.8K
[   ]cmusfm-0.5.0-r0.apk2023-07-21 23:08 15K
[   ]ckb-next-dev-0.6.0-r1.apk2023-07-19 21:11 4.8K
[   ]ckb-next-daemon-openrc-0.6.0-r1.apk2023-07-19 21:11 1.6K
[   ]ckb-next-daemon-0.6.0-r1.apk2023-07-19 21:11 71K
[   ]ckb-next-0.6.0-r1.apk2023-07-19 21:11 1.3M
[   ]gtklock-doc-2.1.0-r0.apk2023-07-18 22:21 2.6K
[   ]gtklock-2.1.0-r0.apk2023-07-18 22:21 18K
[   ]hypnotix-lang-3.5-r0.apk2023-07-18 02:18 72K
[   ]hypnotix-3.5-r0.apk2023-07-18 02:18 110K
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2023-07-13 15:39 37K
[   ]perl-statistics-descriptive-3.0801-r0.apk2023-07-13 15:39 30K
[   ]pari-libs-2.15.4-r0.apk2023-07-11 05:38 4.7M
[   ]pari-doc-2.15.4-r0.apk2023-07-11 05:38 904K
[   ]pari-dev-2.15.4-r0.apk2023-07-11 05:38 105K
[   ]pari-2.15.4-r0.apk2023-07-11 05:38 568K
[   ]dfu-programmer-doc-1.1.0-r0.apk2023-07-10 22:18 5.5K
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2023-07-10 22:18 2.5K
[   ]dfu-programmer-1.1.0-r0.apk2023-07-10 22:18 34K
[   ]ripasso-cursive-0.6.5-r0.apk2023-07-09 23:31 3.0M
[   ]glfw-wayland-dev-3.3.8-r3.apk2023-07-04 02:03 45K
[   ]glfw-wayland-dbg-3.3.8-r3.apk2023-07-04 02:03 182K
[   ]glfw-wayland-3.3.8-r3.apk2023-07-04 02:03 65K
[   ]watershot-0.2.0-r0.apk2023-07-04 02:01 1.8M
[   ]perl-url-encode-doc-0.03-r4.apk2023-07-04 02:01 4.4K
[   ]perl-url-encode-0.03-r4.apk2023-07-04 02:01 4.9K
[   ]perl-throwable-doc-1.001-r1.apk2023-07-04 02:01 7.7K
[   ]perl-throwable-1.001-r1.apk2023-07-04 02:01 6.0K
[   ]perl-text-brew-doc-0.02-r5.apk2023-07-04 02:01 3.9K
[   ]perl-text-brew-0.02-r5.apk2023-07-04 02:01 4.3K
[   ]perl-test-utf8-doc-1.02-r2.apk2023-07-04 02:01 4.7K
[   ]perl-test-utf8-1.02-r2.apk2023-07-04 02:01 5.6K
[   ]perl-test-useallmodules-doc-0.17-r1.apk2023-07-04 02:01 3.6K
[   ]perl-test-useallmodules-0.17-r1.apk2023-07-04 02:01 3.6K
[   ]perl-test-trap-doc-0.3.5-r1.apk2023-07-04 02:01 20K
[   ]perl-test-trap-0.3.5-r1.apk2023-07-04 02:01 20K
[   ]perl-test-roo-doc-1.004-r3.apk2023-07-04 02:01 15K
[   ]perl-test-roo-1.004-r3.apk2023-07-04 02:01 12K
[   ]perl-test-modern-doc-0.013-r3.apk2023-07-04 02:01 9.6K
[   ]perl-test-modern-0.013-r3.apk2023-07-04 02:01 14K
[   ]perl-test-file-doc-1.993-r1.apk2023-07-04 02:01 6.5K
[   ]perl-test-file-1.993-r1.apk2023-07-04 02:01 11K
[   ]perl-test-distribution-doc-2.00-r1.apk2023-07-04 02:01 5.8K
[   ]perl-test-distribution-2.00-r1.apk2023-07-04 02:01 7.5K
[   ]perl-test-api-doc-0.010-r2.apk2023-07-04 02:01 4.0K
[   ]perl-test-api-0.010-r2.apk2023-07-04 02:01 4.8K
[   ]perl-term-ui-doc-0.50-r1.apk2023-07-04 02:01 8.2K
[   ]perl-term-ui-0.50-r1.apk2023-07-04 02:01 9.7K
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2023-07-04 02:01 4.1K
[   ]perl-template-plugin-number-format-1.06-r4.apk2023-07-04 02:01 4.6K
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2023-07-04 02:01 2.8K
[   ]perl-template-plugin-csv-0.04-r3.apk2023-07-04 02:01 2.5K
[   ]perl-string-camelcase-doc-0.04-r2.apk2023-07-04 02:01 3.2K
[   ]perl-string-camelcase-0.04-r2.apk2023-07-04 02:01 2.9K
[   ]perl-sql-abstract-doc-2.000001-r2.apk2023-07-04 02:01 44K
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2023-07-04 02:01 20K
[   ]perl-sql-abstract-classic-1.91-r1.apk2023-07-04 02:01 29K
[   ]perl-sql-abstract-2.000001-r2.apk2023-07-04 02:01 63K
[   ]perl-sort-naturally-doc-1.03-r4.apk2023-07-04 02:01 5.2K
[   ]perl-sort-naturally-1.03-r4.apk2023-07-04 02:01 8.4K
[   ]perl-soap-lite-doc-1.27-r5.apk2023-07-04 02:01 90K
[   ]perl-soap-lite-1.27-r5.apk2023-07-04 02:01 110K
[   ]perl-session-storage-secure-doc-1.000-r2.apk2023-07-04 02:01 7.1K
[   ]perl-session-storage-secure-1.000-r2.apk2023-07-04 02:01 8.6K
[   ]perl-pod-tidy-doc-0.10-r1.apk2023-07-04 02:01 10K
[   ]perl-pod-tidy-0.10-r1.apk2023-07-04 02:01 10K
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2023-07-04 02:01 4.6K
[   ]perl-pod-cpandoc-0.16-r6.apk2023-07-04 02:01 4.3K
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2023-07-04 02:01 2.8K
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2023-07-04 02:01 2.9K
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2023-07-04 02:01 3.1K
[   ]perl-plack-middleware-expires-0.06-r3.apk2023-07-04 02:01 3.6K
[   ]perl-path-iter-doc-0.2-r3.apk2023-07-04 02:01 4.9K
[   ]perl-path-iter-0.2-r3.apk2023-07-04 02:01 5.0K
[   ]perl-number-format-doc-1.76-r1.apk2023-07-04 02:01 8.7K
[   ]perl-number-format-1.76-r1.apk2023-07-04 02:01 15K
[   ]perl-netaddr-mac-doc-0.98-r1.apk2023-07-04 02:01 7.7K
[   ]perl-netaddr-mac-0.98-r1.apk2023-07-04 02:01 11K
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2023-07-04 02:01 5.4K
[   ]perl-lwp-useragent-cached-0.08-r1.apk2023-07-04 02:01 6.1K
[   ]perl-log-message-simple-doc-0.10-r3.apk2023-07-04 02:01 3.7K
[   ]perl-log-message-simple-0.10-r3.apk2023-07-04 02:01 4.0K
[   ]perl-log-message-doc-0.08-r3.apk2023-07-04 02:01 12K
[   ]perl-log-message-0.08-r3.apk2023-07-04 02:01 10K
[   ]perl-log-fu-doc-0.31-r4.apk2023-07-04 02:01 7.0K
[   ]perl-log-fu-0.31-r4.apk2023-07-04 02:01 10K
[   ]perl-libintl-perl-doc-1.33-r1.apk2023-07-04 02:01 566K
[   ]perl-libintl-perl-1.33-r1.apk2023-07-04 02:01 316K
[   ]perl-io-sessiondata-1.03-r3.apk2023-07-04 02:01 5.5K
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2023-07-04 02:01 3.8K
[   ]perl-i18n-langinfo-wide-9-r4.apk2023-07-04 02:01 4.0K
[   ]perl-html-tableextract-doc-2.15-r4.apk2023-07-04 02:01 9.7K
[   ]perl-html-tableextract-2.15-r4.apk2023-07-04 02:01 17K
[   ]perl-hash-merge-doc-0.302-r2.apk2023-07-04 02:01 5.4K
[   ]perl-hash-merge-0.302-r2.apk2023-07-04 02:01 6.6K
[   ]perl-gtk3-doc-0.038-r1.apk2023-07-04 02:01 8.9K
[   ]perl-gtk3-0.038-r1.apk2023-07-04 02:01 19K
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2023-07-04 02:01 81K
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2023-07-04 02:01 65K
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2023-07-04 02:01 7.0K
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2023-07-04 02:01 12K
[   ]perl-getopt-tabular-doc-0.3-r4.apk2023-07-04 02:01 16K
[   ]perl-getopt-tabular-0.3-r4.apk2023-07-04 02:01 23K
[   ]perl-freezethaw-doc-0.5001-r2.apk2023-07-04 02:01 5.4K
[   ]perl-freezethaw-0.5001-r2.apk2023-07-04 02:01 9.6K
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2023-07-04 02:01 21K
[   ]perl-extutils-xsbuilder-0.28-r5.apk2023-07-04 02:01 43K
[   ]perl-email-reply-doc-1.204-r5.apk2023-07-04 02:01 4.5K
[   ]perl-email-reply-1.204-r5.apk2023-07-04 02:01 5.9K
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2023-07-04 02:01 3.6K
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2023-07-04 02:01 3.7K
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2023-07-04 02:01 4.9K
[   ]perl-digest-bcrypt-1.212-r1.apk2023-07-04 02:01 5.3K
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2023-07-04 02:01 8.2K
[   ]perl-dbix-introspector-0.001005-r4.apk2023-07-04 02:01 7.8K
[   ]perl-dbix-datasource-doc-0.02-r5.apk2023-07-04 02:01 7.3K
[   ]perl-dbix-datasource-0.02-r5.apk2023-07-04 02:01 4.0K
[   ]perl-dbix-class-helpers-doc-2.036000-r3.apk2023-07-04 02:01 119K
[   ]perl-dbix-class-helpers-2.036000-r3.apk2023-07-04 02:01 53K
[   ]perl-dbix-class-doc-0.082843-r1.apk2023-07-04 02:01 345K
[   ]perl-dbix-class-candy-doc-0.005003-r5.apk2023-07-04 02:01 9.3K
[   ]perl-dbix-class-candy-0.005003-r5.apk2023-07-04 02:01 8.1K
[   ]perl-dbix-class-0.082843-r1.apk2023-07-04 02:01 430K
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2023-07-04 02:01 5.1K
[   ]perl-dbicx-sugar-0.0200-r5.apk2023-07-04 02:01 5.6K
[   ]perl-data-validate-ip-doc-0.31-r1.apk2023-07-04 02:01 5.6K
[   ]perl-data-validate-ip-0.31-r1.apk2023-07-04 02:01 8.6K
[   ]perl-data-dumper-concise-doc-2.023-r4.apk2023-07-04 02:01 8.2K
[   ]perl-data-dumper-concise-2.023-r4.apk2023-07-04 02:01 5.5K
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2023-07-04 02:01 3.9K
[   ]perl-dancer-session-cookie-0.30-r2.apk2023-07-04 02:01 5.2K
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2023-07-04 02:01 8.2K
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2023-07-04 02:01 9.4K
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2023-07-04 02:01 5.1K
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2023-07-04 02:01 4.7K
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2023-07-04 02:01 15K
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2023-07-04 02:01 15K
[   ]perl-daemon-control-doc-0.001010-r2.apk2023-07-04 02:01 8.0K
[   ]perl-daemon-control-0.001010-r2.apk2023-07-04 02:01 12K
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2023-07-04 02:01 6.1K
[   ]perl-crypt-saltedhash-0.09-r5.apk2023-07-04 02:01 6.7K
[   ]perl-context-preserve-doc-0.03-r4.apk2023-07-04 02:01 4.0K
[   ]perl-context-preserve-0.03-r4.apk2023-07-04 02:01 3.6K
[   ]perl-constant-generate-doc-0.17-r5.apk2023-07-04 02:01 6.8K
[   ]perl-constant-generate-0.17-r5.apk2023-07-04 02:01 8.5K
[   ]perl-constant-defer-doc-6-r5.apk2023-07-04 02:01 6.7K
[   ]perl-constant-defer-6-r5.apk2023-07-04 02:01 7.1K
[   ]perl-clone-pp-doc-1.08-r1.apk2023-07-04 02:01 3.9K
[   ]perl-clone-pp-1.08-r1.apk2023-07-04 02:01 4.3K
[   ]perl-clone-choose-doc-0.010-r4.apk2023-07-04 02:01 4.0K
[   ]perl-clone-choose-0.010-r4.apk2023-07-04 02:01 4.3K
[   ]perl-class-c3-doc-0.35-r1.apk2023-07-04 02:01 9.0K
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2023-07-04 02:01 5.1K
[   ]perl-class-c3-componentised-1.001002-r2.apk2023-07-04 02:01 5.3K
[   ]perl-class-c3-0.35-r1.apk2023-07-04 02:01 9.2K
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2023-07-04 02:01 7.2K
[   ]perl-class-accessor-grouped-0.10014-r2.apk2023-07-04 02:01 12K
[   ]perl-cgi-expand-doc-2.05-r4.apk2023-07-04 02:01 5.9K
[   ]perl-cgi-expand-2.05-r4.apk2023-07-04 02:01 6.6K
[   ]perl-bind-config-parser-doc-0.01-r5.apk2023-07-04 02:01 3.4K
[   ]perl-bind-config-parser-0.01-r5.apk2023-07-04 02:01 3.6K
[   ]perl-archive-extract-doc-0.88-r1.apk2023-07-04 02:01 6.6K
[   ]perl-archive-extract-0.88-r1.apk2023-07-04 02:01 15K
[   ]perl-aliased-doc-0.34-r4.apk2023-07-04 02:01 5.4K
[   ]perl-aliased-0.34-r4.apk2023-07-04 02:01 5.4K
[   ]perl-algorithm-cron-doc-0.10-r4.apk2023-07-04 02:01 4.3K
[   ]perl-algorithm-cron-0.10-r4.apk2023-07-04 02:01 5.8K
[   ]perl-algorithm-c3-doc-0.11-r1.apk2023-07-04 02:01 4.8K
[   ]perl-algorithm-c3-0.11-r1.apk2023-07-04 02:01 5.4K
[   ]wlroots0.15-dev-0.15.1-r6.apk2023-07-03 18:21 70K
[   ]wlroots0.15-dbg-0.15.1-r6.apk2023-07-03 18:21 1.2M
[   ]wlroots0.15-0.15.1-r6.apk2023-07-03 18:21 298K
[   ]csfml-doc-2.5.2-r0.apk2023-07-01 08:44 204K
[   ]csfml-dev-2.5.2-r0.apk2023-07-01 08:44 77K
[   ]csfml-2.5.2-r0.apk2023-07-01 08:44 101K
[   ]wpaperd-zsh-completion-0.3.0-r2.apk2023-07-01 07:25 1.7K
[   ]wpaperd-fish-completion-0.3.0-r2.apk2023-07-01 07:25 1.5K
[   ]wpaperd-doc-0.3.0-r2.apk2023-07-01 07:25 2.9K
[   ]wpaperd-bash-completion-0.3.0-r2.apk2023-07-01 07:25 1.7K
[   ]wpaperd-0.3.0-r2.apk2023-07-01 07:25 1.0M
[   ]tealdeer-zsh-completion-1.6.1-r2.apk2023-07-01 07:25 2.1K
[   ]tealdeer-fish-completion-1.6.1-r2.apk2023-07-01 07:25 2.0K
[   ]tealdeer-bash-completion-1.6.1-r2.apk2023-07-01 07:25 1.7K
[   ]tealdeer-1.6.1-r2.apk2023-07-01 07:25 947K
[   ]startup-tools-2.0.3-r4.apk2023-07-01 07:25 13K
[   ]startup-lang-2.0.3-r4.apk2023-07-01 07:25 16K
[   ]startup-fish-completion-2.0.3-r4.apk2023-07-01 07:25 5.1K
[   ]startup-doc-2.0.3-r4.apk2023-07-01 07:25 47K
[   ]startup-dev-2.0.3-r4.apk2023-07-01 07:25 5.6K
[   ]startup-bridge-udev-2.0.3-r4.apk2023-07-01 07:25 29K
[   ]startup-bridge-dconf-2.0.3-r4.apk2023-07-01 07:25 29K
[   ]startup-2.0.3-r4.apk2023-07-01 07:25 410K
[   ]rage-zsh-completion-0.9.2-r1.apk2023-07-01 07:25 2.1K
[   ]rage-fish-completion-0.9.2-r1.apk2023-07-01 07:25 1.8K
[   ]rage-doc-0.9.2-r1.apk2023-07-01 07:25 3.6K
[   ]rage-bash-completion-0.9.2-r1.apk2023-07-01 07:25 2.1K
[   ]rage-0.9.2-r1.apk2023-07-01 07:25 2.4M
[   ]py-spy-zsh-completion-0.3.14-r3.apk2023-07-01 07:25 2.8K
[   ]py-spy-fish-completion-0.3.14-r3.apk2023-07-01 07:25 2.3K
[   ]py-spy-doc-0.3.14-r3.apk2023-07-01 07:25 2.0K
[   ]py-spy-bash-completion-0.3.14-r3.apk2023-07-01 07:25 2.1K
[   ]py-spy-0.3.14-r3.apk2023-07-01 07:25 958K
[   ]pastel-zsh-completion-0.9.0-r2.apk2023-07-01 07:25 4.6K
[   ]pastel-fish-completion-0.9.0-r2.apk2023-07-01 07:25 3.0K
[   ]pastel-bash-completion-0.9.0-r2.apk2023-07-01 07:25 2.8K
[   ]pastel-0.9.0-r2.apk2023-07-01 07:25 404K
[   ]libupstart-2.0.3-r4.apk2023-07-01 07:25 52K
[   ]ffsend-zsh-completion-0.2.76-r4.apk2023-07-01 07:24 4.3K
[   ]ffsend-fish-completion-0.2.76-r4.apk2023-07-01 07:24 3.3K
[   ]ffsend-bash-completion-0.2.76-r4.apk2023-07-01 07:24 3.4K
[   ]ffsend-0.2.76-r4.apk2023-07-01 07:24 1.6M
[   ]apmpkg-zsh-completion-1.5.1-r3.apk2023-07-01 07:24 2.2K
[   ]apmpkg-fish-completion-1.5.1-r3.apk2023-07-01 07:24 1.8K
[   ]apmpkg-doc-1.5.1-r3.apk2023-07-01 07:24 2.8K
[   ]apmpkg-bash-completion-1.5.1-r3.apk2023-07-01 07:24 1.9K
[   ]apmpkg-1.5.1-r3.apk2023-07-01 07:24 1.7M
[   ]sandbar-0.1-r0.apk2023-06-30 22:42 15K
[   ]caps2esc-0.3.2-r0.apk2023-06-29 11:30 4.8K
[   ]faust-vim-2.60.3-r2.apk2023-06-29 04:17 2.4K
[   ]faust-tools-2.60.3-r2.apk2023-06-29 04:17 121K
[   ]faust-static-2.60.3-r2.apk2023-06-29 04:17 565K
[   ]faust-doc-2.60.3-r2.apk2023-06-29 04:17 17M
[   ]faust-dev-2.60.3-r2.apk2023-06-29 04:17 770K
[   ]faust-2.60.3-r2.apk2023-06-29 04:17 8.2M
[   ]torrent-file-editor-0.3.18-r0.apk2023-06-27 23:52 370K
[   ]duc-doc-1.4.5-r0.apk2023-06-27 18:29 8.8K
[   ]duc-1.4.5-r0.apk2023-06-27 18:29 88K
[   ]tuptime-openrc-5.2.2-r3.apk2023-06-25 13:02 1.5K
[   ]tuptime-doc-5.2.2-r3.apk2023-06-25 13:02 3.5K
[   ]tuptime-5.2.2-r3.apk2023-06-25 13:02 13K
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2023-06-22 12:22 1.6K
[   ]nvimpager-doc-0.12.0-r0.apk2023-06-22 12:22 4.2K
[   ]nvimpager-0.12.0-r0.apk2023-06-22 12:22 12K
[   ]drumgizmo-0.9.20-r1.apk2023-06-22 08:48 414K
[   ]virtualgl-doc-3.1-r0.apk2023-06-20 23:32 341K
[   ]virtualgl-dev-3.1-r0.apk2023-06-20 23:32 5.9K
[   ]virtualgl-3.1-r0.apk2023-06-20 23:32 2.0M
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2023-06-20 18:08 106K
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2023-06-20 18:08 4.0K
[   ]transmission-remote-gtk-1.6.0-r0.apk2023-06-20 18:08 153K
[   ]uucp-doc-1.07-r5.apk2023-06-19 20:40 118K
[   ]uucp-1.07-r5.apk2023-06-19 20:40 501K
[   ]moosefs-static-3.0.117-r1.apk2023-06-17 23:33 584K
[   ]moosefs-metalogger-openrc-3.0.117-r1.apk2023-06-17 23:33 1.4K
[   ]moosefs-metalogger-3.0.117-r1.apk2023-06-17 23:33 33K
[   ]moosefs-master-openrc-3.0.117-r1.apk2023-06-17 23:33 1.4K
[   ]moosefs-master-3.0.117-r1.apk2023-06-17 23:33 291K
[   ]moosefs-doc-3.0.117-r1.apk2023-06-17 23:33 65K
[   ]moosefs-client-3.0.117-r1.apk2023-06-17 23:33 285K
[   ]moosefs-chunkserver-openrc-3.0.117-r1.apk2023-06-17 23:33 1.4K
[   ]moosefs-chunkserver-3.0.117-r1.apk2023-06-17 23:33 151K
[   ]moosefs-cgiserv-openrc-3.0.117-r1.apk2023-06-17 23:33 1.8K
[   ]moosefs-cgiserv-3.0.117-r1.apk2023-06-17 23:33 7.6K
[   ]moosefs-cgi-3.0.117-r1.apk2023-06-17 23:33 63K
[   ]moosefs-3.0.117-r1.apk2023-06-17 23:33 200K
[   ]pypy-tkinter-7.3.12-r0.apk2023-06-17 01:14 452K
[   ]pypy-dev-7.3.12-r0.apk2023-06-17 01:14 78K
[   ]pypy-bootstrap-7.3.12-r0.apk2023-06-17 01:14 16M
[   ]pypy-7.3.12-r0.apk2023-06-17 01:13 15M
[   ]viewnior-lang-1.8-r1.apk2023-06-15 08:35 85K
[   ]viewnior-doc-1.8-r1.apk2023-06-15 08:35 1.8K
[   ]viewnior-1.8-r1.apk2023-06-15 08:35 76K
[   ]gpscorrelate-lang-2.0_git20230605-r0.apk2023-06-15 08:35 16K
[   ]gpscorrelate-doc-2.0_git20230605-r0.apk2023-06-15 08:35 171K
[   ]gpscorrelate-cli-2.0_git20230605-r0.apk2023-06-15 08:35 23K
[   ]gpscorrelate-2.0_git20230605-r0.apk2023-06-15 08:35 47K
[   ]lolcat-1.4-r0.apk2023-06-15 00:17 11K
[   ]gnome-metronome-lang-1.3.0-r0.apk2023-06-15 00:17 24K
[   ]gnome-metronome-1.3.0-r0.apk2023-06-15 00:17 472K
[   ]voikko-fi-2.5-r0.apk2023-06-13 19:29 1.6M
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2023-06-13 19:29 45K
[   ]libfoma-0.10.0_git20221230-r0.apk2023-06-13 19:29 111K
[   ]foma-dev-0.10.0_git20221230-r0.apk2023-06-13 19:29 8.2K
[   ]foma-0.10.0_git20221230-r0.apk2023-06-13 19:29 347K
[   ]vfd-configurations-0_git20230612-r0.apk2023-06-13 00:33 25K
[   ]lout-doc-3.42.2-r0.apk2023-06-12 23:35 452K
[   ]lout-3.42.2-r0.apk2023-06-12 23:35 1.4M
[   ]hdr10plus-tool-1.6.0-r0.apk2023-06-12 17:20 812K
[   ]dovi-tool-2.0.3-r0.apk2023-06-12 17:20 1.0M
[   ]mint-y-theme-xfwm4-2.1.1-r0.apk2023-06-12 17:01 207K
[   ]mint-y-theme-metacity-2.1.1-r0.apk2023-06-12 17:01 57K
[   ]mint-y-theme-gtk4-2.1.1-r0.apk2023-06-12 17:01 1.6M
[   ]mint-y-theme-gtk3-2.1.1-r0.apk2023-06-12 17:01 1.8M
[   ]mint-y-theme-gtk2-2.1.1-r0.apk2023-06-12 17:01 656K
[   ]mint-y-theme-2.1.1-r0.apk2023-06-12 17:01 3.9K
[   ]mint-x-theme-xfwm4-2.1.1-r0.apk2023-06-12 17:01 35K
[   ]mint-x-theme-metacity-2.1.1-r0.apk2023-06-12 17:01 5.8K
[   ]mint-x-theme-gtk4-2.1.1-r0.apk2023-06-12 17:01 510K
[   ]mint-x-theme-gtk3-2.1.1-r0.apk2023-06-12 17:01 603K
[   ]mint-x-theme-gtk2-2.1.1-r0.apk2023-06-12 17:01 490K
[   ]mint-x-theme-2.1.1-r0.apk2023-06-12 17:01 2.1K
[   ]mint-themes-doc-2.1.1-r0.apk2023-06-12 17:01 13K
[   ]mint-themes-2.1.1-r0.apk2023-06-12 17:01 1.9K
[   ]greetd-regreet-0.1.1-r0.apk2023-06-11 22:21 1.0M
[   ]font-monocraft-3.0-r0.apk2023-06-10 20:44 41K
[   ]noson-dev-2.10.3-r0.apk2023-06-10 17:23 34K
[   ]noson-dbg-2.10.3-r0.apk2023-06-10 17:23 3.0M
[   ]noson-2.10.3-r0.apk2023-06-10 17:23 314K
[   ]alttab-doc-1.7.1-r0.apk2023-06-10 00:20 10K
[   ]alttab-1.7.1-r0.apk2023-06-10 00:20 39K
[   ]makeself-2.5.0-r0.apk2023-06-09 23:42 13K
[   ]vcsh-zsh-completion-2.0.5-r0.apk2023-06-08 23:49 2.7K
[   ]vcsh-doc-2.0.5-r0.apk2023-06-08 23:49 27K
[   ]vcsh-bash-completion-2.0.5-r0.apk2023-06-08 23:49 2.7K
[   ]vcsh-2.0.5-r0.apk2023-06-08 23:49 8.5K
[   ]luacov-0.15.0-r0.apk2023-06-08 23:49 1.2K
[   ]lua5.4-luacov-0.15.0-r0.apk2023-06-08 23:49 23K
[   ]lua5.3-luacov-0.15.0-r0.apk2023-06-08 23:49 23K
[   ]lua5.2-luacov-0.15.0-r0.apk2023-06-08 23:49 23K
[   ]lua5.1-luacov-0.15.0-r0.apk2023-06-08 23:49 23K
[   ]disfetch-3.7-r0.apk2023-06-08 23:49 8.0K
[   ]ircd-hybrid-doc-8.2.43-r0.apk2023-06-08 22:45 3.4K
[   ]ircd-hybrid-8.2.43-r0.apk2023-06-08 22:45 433K
[   ]ip2location-doc-8.6.1-r0.apk2023-06-06 21:00 2.5K
[   ]ip2location-dev-8.6.1-r0.apk2023-06-06 21:00 13K
[   ]ip2location-8.6.1-r0.apk2023-06-06 21:00 27K
[   ]libbloom-dev-2.0-r0.apk2023-06-05 23:59 3.2K
[   ]libbloom-2.0-r0.apk2023-06-05 23:59 6.1K
[   ]hunspell-es-ar-doc-2.7-r0.apk2023-06-05 16:06 2.6K
[   ]hunspell-es-ar-2.7-r0.apk2023-06-05 16:06 226K
[   ]somebar-doc-1.0.3-r0.apk2023-06-04 20:00 2.2K
[   ]somebar-1.0.3-r0.apk2023-06-04 20:00 49K
[   ]kfc-0.1.4-r0.apk2023-06-03 01:50 58K
[   ]pxmenu-1.0.0-r1.apk2023-06-01 22:37 2.6K
[   ]noggin-model-lightweight-0.1-r0.apk2023-06-01 02:19 1.7M
[   ]noggin-model-0.1-r0.apk2023-06-01 02:19 12M
[   ]newsyslog-doc-1.2.0.91-r1.apk2023-05-31 09:03 24K
[   ]newsyslog-1.2.0.91-r1.apk2023-05-31 09:03 18K
[   ]pithos-pyc-1.6.1-r0.apk2023-05-30 23:22 154K
[   ]pithos-doc-1.6.1-r0.apk2023-05-30 23:22 1.8K
[   ]pithos-1.6.1-r0.apk2023-05-30 23:22 104K
[   ]authenticator-rs-lang-0.7.5-r0.apk2023-05-29 23:10 3.5K
[   ]authenticator-rs-0.7.5-r0.apk2023-05-29 23:10 2.0M
[   ]zsh-histdb-skim-0.8.6-r0.apk2023-05-29 22:35 787K
[   ]lxappearance-lang-0.6.3-r3.apk2023-05-29 05:20 80K
[   ]lxappearance-doc-0.6.3-r3.apk2023-05-29 05:20 2.4K
[   ]lxappearance-dev-0.6.3-r3.apk2023-05-29 05:20 3.0K
[   ]lxappearance-0.6.3-r3.apk2023-05-29 05:20 30K
[   ]libirecovery-progs-1.1.0-r0.apk2023-05-27 11:50 8.4K
[   ]libirecovery-dev-1.1.0-r0.apk2023-05-27 11:50 3.9K
[   ]libirecovery-1.1.0-r0.apk2023-05-27 11:50 20K
[   ]idevicerestore-doc-1.0.0-r3.apk2023-05-27 11:50 2.3K
[   ]idevicerestore-1.0.0-r3.apk2023-05-27 11:50 83K
[   ]udpt-openrc-3.1.2-r0.apk2023-05-26 23:46 1.5K
[   ]udpt-3.1.2-r0.apk2023-05-26 23:46 749K
[   ]pam_sqlite3-1.0.2-r1.apk2023-05-25 08:21 9.0K
[   ]wk-adblock-doc-0.0.4-r5.apk2023-05-24 09:48 1.8K
[   ]wk-adblock-0.0.4-r5.apk2023-05-24 09:48 181K
[   ]weggli-0.2.4-r1.apk2023-05-24 09:48 853K
[   ]waylevel-1.0.0-r1.apk2023-05-24 09:48 326K
[   ]vivid-0.9.0-r1.apk2023-05-24 09:48 328K
[   ]twiggy-0.6.0-r3.apk2023-05-24 09:48 824K
[   ]synapse-bt-openrc-1.0-r4.apk2023-05-24 09:48 1.6K
[   ]synapse-bt-cli-1.0-r4.apk2023-05-24 09:48 1.0M
[   ]synapse-bt-1.0-r4.apk2023-05-24 09:48 1.1M
[   ]swayhide-0.2.1-r1.apk2023-05-24 09:48 260K
[   ]spotify-tui-0.25.0-r2.apk2023-05-24 09:48 1.9M
[   ]so-0.4.9-r1.apk2023-05-24 09:48 1.6M
[   ]rpg-cli-1.0.1-r1.apk2023-05-24 09:48 598K
[   ]riemann-cli-0.8.0-r2.apk2023-05-24 09:48 549K
[   ]rezolus-openrc-2.11.1-r3.apk2023-05-24 09:48 1.8K
[   ]rezolus-doc-2.11.1-r3.apk2023-05-24 09:48 3.1K
[   ]rezolus-2.11.1-r3.apk2023-05-24 09:48 925K
[   ]pw-volume-0.5.0-r1.apk2023-05-24 09:48 347K
[   ]please-doc-0.4.2-r2.apk2023-05-24 09:48 13K
[   ]please-0.4.2-r2.apk2023-05-24 09:48 1.0M
[   ]pidif-0.1-r1.apk2023-05-24 09:48 172K
[   ]nsh-dbg-0.4.2-r1.apk2023-05-24 09:48 3.3M
[   ]nsh-0.4.2-r1.apk2023-05-24 09:48 646K
[   ]nixpacks-0.1.7-r1.apk2023-05-24 09:48 945K
[   ]nitrocli-doc-0.4.1-r3.apk2023-05-24 09:48 8.6K
[   ]nitrocli-bash-completion-0.4.1-r3.apk2023-05-24 09:48 3.0K
[   ]nitrocli-0.4.1-r3.apk2023-05-24 09:48 461K
[   ]macchina-doc-6.1.8-r1.apk2023-05-24 09:48 5.3K
[   ]macchina-6.1.8-r1.apk2023-05-24 09:48 562K
[   ]lumins-0.4.0-r2.apk2023-05-24 09:48 689K
[   ]jrsonnet-cli-0.4.2-r1.apk2023-05-24 09:48 598K
[   ]hstdb-2.1.0-r2.apk2023-05-24 09:48 924K
[   ]habitctl-0.1.0-r2.apk2023-05-24 09:48 347K
[   ]grass-0.12.3-r1.apk2023-05-24 09:48 887K
[   ]eva-0.3.1-r2.apk2023-05-24 09:48 659K
[   ]dum-0.1.19-r1.apk2023-05-24 09:48 244K
[   ]diskonaut-0.11.0-r3.apk2023-05-24 09:48 473K
[   ]desed-doc-1.2.1-r1.apk2023-05-24 09:48 2.6K
[   ]desed-1.2.1-r1.apk2023-05-24 09:48 417K
[   ]castor-0.9.0-r2.apk2023-05-24 09:48 745K
[   ]cargo-vendor-filterer-0.5.9-r1.apk2023-05-24 09:48 481K
[   ]bore-0.5.0-r1.apk2023-05-24 09:48 560K
[   ]bartib-1.0.1-r1.apk2023-05-24 09:48 377K
[   ]kirc-doc-0.3.2-r0.apk2023-05-23 14:48 2.4K
[   ]kirc-0.3.2-r0.apk2023-05-23 14:48 11K
[   ]pokoy-doc-0.2.5-r0.apk2023-05-22 23:26 2.7K
[   ]pokoy-0.2.5-r0.apk2023-05-22 23:26 9.6K
[   ]gutenprint-static-5.3.4-r3.apk2023-05-21 19:06 1.0M
[   ]gutenprint-samples-5.3.4-r3.apk2023-05-21 19:06 644K
[   ]gutenprint-libs-5.3.4-r3.apk2023-05-21 19:06 622K
[   ]gutenprint-lang-5.3.4-r3.apk2023-05-21 19:06 1.9M
[   ]gutenprint-doc-5.3.4-r3.apk2023-05-21 19:06 8.1K
[   ]gutenprint-dev-5.3.4-r3.apk2023-05-21 19:06 35K
[   ]gutenprint-cups-5.3.4-r3.apk2023-05-21 19:06 49M
[   ]gutenprint-5.3.4-r3.apk2023-05-21 19:06 818K
[   ]glmark2-doc-2023.01-r0.apk2023-05-18 23:55 13K
[   ]glmark2-2023.01-r0.apk2023-05-18 23:55 7.9M
[   ]pick-doc-4.0.0-r0.apk2023-05-18 11:59 3.1K
[   ]pick-4.0.0-r0.apk2023-05-18 11:59 10K
[   ]metalang99-1.13.3-r0.apk2023-05-16 14:29 54K
[   ]ustr-static-1.0.4-r1.apk2023-05-15 22:52 180K
[   ]ustr-doc-1.0.4-r1.apk2023-05-15 22:52 96K
[   ]ustr-dev-1.0.4-r1.apk2023-05-15 22:52 91K
[   ]ustr-debug-1.0.4-r1.apk2023-05-15 22:52 77K
[   ]ustr-1.0.4-r1.apk2023-05-15 22:52 59K
[   ]tre-static-0.8.0-r2.apk2023-05-15 22:52 31K
[   ]tre-dev-0.8.0-r2.apk2023-05-15 22:52 4.9K
[   ]tre-0.8.0-r2.apk2023-05-15 22:52 28K
[   ]termbox-static-1.1.2-r1.apk2023-05-15 22:52 14K
[   ]termbox-dev-1.1.2-r1.apk2023-05-15 22:52 5.5K
[   ]termbox-1.1.2-r1.apk2023-05-15 22:52 12K
[   ]telegram-tdlib-static-1.8.9-r1.apk2023-05-15 22:52 16M
[   ]telegram-tdlib-doc-1.8.9-r1.apk2023-05-15 22:51 4.3K
[   ]telegram-tdlib-dev-1.8.9-r1.apk2023-05-15 22:51 121K
[   ]telegram-tdlib-1.8.9-r1.apk2023-05-15 22:51 5.7M
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2023-05-15 22:51 1.8K
[   ]ssh-honeypot-0.1.1-r1.apk2023-05-15 22:51 8.8K
[   ]shellinabox-openrc-2.21-r3.apk2023-05-15 22:51 3.3K
[   ]shellinabox-doc-2.21-r3.apk2023-05-15 22:51 19K
[   ]shellinabox-2.21-r3.apk2023-05-15 22:51 119K
[   ]scooper-doc-1.3-r1.apk2023-05-15 22:51 2.3K
[   ]scooper-1.3-r1.apk2023-05-15 22:51 531K
[   ]sblim-sfcc-doc-2.2.8-r2.apk2023-05-15 22:51 34K
[   ]sblim-sfcc-dev-2.2.8-r2.apk2023-05-15 22:51 22K
[   ]sblim-sfcc-2.2.8-r2.apk2023-05-15 22:51 57K
[   ]rgxg-doc-0.1.2-r2.apk2023-05-15 22:51 12K
[   ]rgxg-dev-0.1.2-r2.apk2023-05-15 22:51 3.3K
[   ]rgxg-0.1.2-r2.apk2023-05-15 22:51 15K
[   ]opensm-openrc-3.3.24-r2.apk2023-05-15 22:51 2.6K
[   ]opensm-doc-3.3.24-r2.apk2023-05-15 22:51 38K
[   ]opensm-dev-3.3.24-r2.apk2023-05-15 22:51 219K
[   ]opensm-3.3.24-r2.apk2023-05-15 22:51 470K
[   ]ocfs2-tools-doc-1.8.7-r2.apk2023-05-15 22:51 70K
[   ]ocfs2-tools-dev-1.8.7-r2.apk2023-05-15 22:51 46K
[   ]ocfs2-tools-1.8.7-r2.apk2023-05-15 22:51 1.2M
[   ]mdnsd-static-0.12-r1.apk2023-05-15 22:51 20K
[   ]mdnsd-openrc-0.12-r1.apk2023-05-15 22:51 1.9K
[   ]mdnsd-libs-0.12-r1.apk2023-05-15 22:51 19K
[   ]mdnsd-doc-0.12-r1.apk2023-05-15 22:51 14K
[   ]mdnsd-0.12-r1.apk2023-05-15 22:51 24K
[   ]lrcalc-libs-2.1-r1.apk2023-05-15 22:51 30K
[   ]lrcalc-dev-2.1-r1.apk2023-05-15 22:51 11K
[   ]lrcalc-2.1-r1.apk2023-05-15 22:51 13K
[   ]log4cpp-dev-1.1.4-r1.apk2023-05-15 22:51 39K
[   ]log4cpp-1.1.4-r1.apk2023-05-15 22:51 72K
[   ]libsigrok-dev-0.5.2-r2.apk2023-05-15 22:51 30K
[   ]libsigrok-0.5.2-r2.apk2023-05-15 22:51 503K
[   ]libnfc-tools-1.8.0-r1.apk2023-05-15 22:51 92K
[   ]libnfc-doc-1.8.0-r1.apk2023-05-15 22:51 22K
[   ]libnfc-dev-1.8.0-r1.apk2023-05-15 22:51 7.6K
[   ]libnfc-1.8.0-r1.apk2023-05-15 22:51 55K
[   ]libiscsi-utils-1.19.0-r2.apk2023-05-15 22:51 95K
[   ]libiscsi-static-1.19.0-r2.apk2023-05-15 22:51 78K
[   ]libiscsi-doc-1.19.0-r2.apk2023-05-15 22:51 9.0K
[   ]libiscsi-dev-1.19.0-r2.apk2023-05-15 22:51 20K
[   ]libiscsi-1.19.0-r2.apk2023-05-15 22:51 62K
[   ]libibumad-doc-1.3.10.2-r3.apk2023-05-15 22:51 23K
[   ]libibumad-dev-1.3.10.2-r3.apk2023-05-15 22:51 7.3K
[   ]libibumad-1.3.10.2-r3.apk2023-05-15 22:51 15K
[   ]libibmad-dev-1.3.13-r2.apk2023-05-15 22:51 13K
[   ]libibmad-1.3.13-r2.apk2023-05-15 22:51 32K
[   ]liberasurecode-dev-1.6.3-r1.apk2023-05-15 22:51 18K
[   ]liberasurecode-1.6.3-r1.apk2023-05-15 22:51 45K
[   ]libecm-7.0.5-r1.apk2023-05-15 22:51 247K
[   ]libecap-static-1.0.1-r1.apk2023-05-15 22:51 18K
[   ]libecap-dev-1.0.1-r1.apk2023-05-15 22:51 11K
[   ]libecap-1.0.1-r1.apk2023-05-15 22:51 13K
[   ]libctl-doc-4.5.1-r1.apk2023-05-15 22:51 2.8K
[   ]libctl-dev-4.5.1-r1.apk2023-05-15 22:51 38K
[   ]libctl-4.5.1-r1.apk2023-05-15 22:51 100K
[   ]libantlr3c-dev-3.4-r3.apk2023-05-15 22:51 58K
[   ]libantlr3c-3.4-r3.apk2023-05-15 22:51 60K
[   ]httrack-doc-3.49.2-r5.apk2023-05-15 22:51 528K
[   ]httrack-3.49.2-r5.apk2023-05-15 22:51 760K
[   ]hdf4-tools-4.2.15-r1.apk2023-05-15 22:51 221K
[   ]hdf4-doc-4.2.15-r1.apk2023-05-15 22:51 5.8K
[   ]hdf4-dev-4.2.15-r1.apk2023-05-15 22:51 100K
[   ]hdf4-4.2.15-r1.apk2023-05-15 22:51 255K
[   ]handlebars-utils-1.0.0-r1.apk2023-05-15 22:51 9.7K
[   ]handlebars-dev-1.0.0-r1.apk2023-05-15 22:51 32K
[   ]handlebars-1.0.0-r1.apk2023-05-15 22:51 108K
[   ]ecm-doc-7.0.5-r1.apk2023-05-15 22:51 6.9K
[   ]ecm-dev-7.0.5-r1.apk2023-05-15 22:51 4.6K
[   ]ecm-7.0.5-r1.apk2023-05-15 22:51 133K
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2023-05-15 22:51 7.2K
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2023-05-15 22:51 2.0K
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2023-05-15 22:51 7.1K
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2023-05-15 22:51 61K
[   ]agrep-doc-0.8.0-r2.apk2023-05-15 22:51 3.9K
[   ]agrep-0.8.0-r2.apk2023-05-15 22:51 8.3K
[   ]agg-dev-2.5-r3.apk2023-05-15 22:51 201K
[   ]agg-2.5-r3.apk2023-05-15 22:51 111K
[   ]libideviceactivation-doc-1.1.1-r4.apk2023-05-15 04:29 2.0K
[   ]libideviceactivation-dev-1.1.1-r4.apk2023-05-15 04:29 3.2K
[   ]libideviceactivation-1.1.1-r4.apk2023-05-15 04:29 19K
[   ]ideviceinstaller-doc-1.1.1-r3.apk2023-05-15 04:29 2.2K
[   ]ideviceinstaller-1.1.1-r3.apk2023-05-15 04:29 14K
[   ]gprbuild-22.0.0-r2.apk2023-05-14 21:21 13M
[   ]restic.mk-0.4.0-r0.apk2023-05-13 10:44 2.7K
[   ]firehol-openrc-3.1.7-r2.apk2023-05-12 20:07 1.8K
[   ]firehol-doc-3.1.7-r2.apk2023-05-12 20:07 674K
[   ]firehol-3.1.7-r2.apk2023-05-12 20:07 84K
[   ]asteroid-languages-0_git20230112-r0.apk2023-05-11 11:00 6.0K
[   ]php82-snappy-0.2.1-r1.apk2023-05-10 17:08 5.4K
[   ]kabmat-doc-2.7.0-r0.apk2023-05-07 08:40 3.3K
[   ]kabmat-2.7.0-r0.apk2023-05-07 08:40 61K
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2023-05-05 09:19 767K
[   ]openocd-riscv-udev-rules-0_git20230104-r1.apk2023-05-04 09:47 3.0K
[   ]openocd-riscv-doc-0_git20230104-r1.apk2023-05-04 09:47 3.1K
[   ]openocd-riscv-dev-0_git20230104-r1.apk2023-05-04 09:47 3.5K
[   ]openocd-riscv-0_git20230104-r1.apk2023-05-04 09:47 1.5M
[   ]libabigail-tools-2.3-r0.apk2023-05-04 09:46 111K
[   ]libabigail-doc-2.3-r0.apk2023-05-04 09:46 61K
[   ]libabigail-dev-2.3-r0.apk2023-05-04 09:46 1.3M
[   ]libabigail-bash-completion-2.3-r0.apk2023-05-04 09:46 2.7K
[   ]libabigail-2.3-r0.apk2023-05-04 09:46 866K
[   ]xfce4-systemload-plugin-lang-1.3.2-r0.apk2023-05-02 17:20 39K
[   ]xfce4-systemload-plugin-1.3.2-r0.apk2023-05-02 17:20 32K
[   ]mimedefang-doc-3.4.1-r0.apk2023-05-01 18:45 92K
[   ]mimedefang-3.4.1-r0.apk2023-05-01 18:45 156K
[   ]vcdimager-doc-2.0.1-r3.apk2023-04-29 23:47 74K
[   ]vcdimager-dev-2.0.1-r3.apk2023-04-29 23:47 131K
[   ]vcdimager-2.0.1-r3.apk2023-04-29 23:47 489K
[   ]twinkle-doc-1.10.3-r2.apk2023-04-29 23:47 3.3K
[   ]twinkle-1.10.3-r2.apk2023-04-29 23:47 2.4M
[   ]stardict-lang-3.0.6-r6.apk2023-04-29 23:47 289K
[   ]stardict-help-3.0.6-r6.apk2023-04-29 23:47 3.4M
[   ]stardict-doc-3.0.6-r6.apk2023-04-29 23:47 2.0K
[   ]stardict-3.0.6-r6.apk2023-04-29 23:47 1.0M
[   ]sane-airscan-doc-0.99.27-r1.apk2023-04-29 23:47 5.3K
[   ]sane-airscan-0.99.27-r1.apk2023-04-29 23:47 201K
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r1.apk2023-04-29 23:47 38K
[   ]openmg-0.0.9-r0.apk2023-04-29 23:47 106K
[   ]nzbget-doc-21.1-r2.apk2023-04-29 23:47 69K
[   ]nzbget-21.1-r2.apk2023-04-29 23:47 1.0M
[   ]monetdb-doc-11.33.11-r4.apk2023-04-29 23:47 321K
[   ]monetdb-dev-11.33.11-r4.apk2023-04-29 23:47 77K
[   ]monetdb-11.33.11-r4.apk2023-04-29 23:47 2.4M
[   ]libxml++-dev-5.0.3-r1.apk2023-04-29 23:47 30K
[   ]libxml++-5.0.3-r1.apk2023-04-29 23:47 63K
[   ]libvisio2svg-utils-0.5.5-r3.apk2023-04-29 23:47 127K
[   ]libvisio2svg-dev-0.5.5-r3.apk2023-04-29 23:47 2.7K
[   ]libvisio2svg-0.5.5-r3.apk2023-04-29 23:47 16K
[   ]kannel-doc-1.5.0-r11.apk2023-04-29 23:47 5.9K
[   ]kannel-dev-1.5.0-r11.apk2023-04-29 23:47 1.0M
[   ]kannel-1.5.0-r11.apk2023-04-29 23:47 6.3M
[   ]elementary-photos-publishing-2.8.0-r1.apk2023-04-29 23:47 238K
[   ]elementary-photos-lang-2.8.0-r1.apk2023-04-29 23:47 1.3M
[   ]elementary-photos-2.8.0-r1.apk2023-04-29 23:47 1.2M
[   ]cluster-glue-libs-1.0.12-r5.apk2023-04-29 23:47 116K
[   ]cluster-glue-doc-1.0.12-r5.apk2023-04-29 23:47 33K
[   ]cluster-glue-dev-1.0.12-r5.apk2023-04-29 23:47 1.0M
[   ]cluster-glue-1.0.12-r5.apk2023-04-29 23:47 308K
[   ]apt-dater-lang-1.0.4-r3.apk2023-04-29 23:47 13K
[   ]apt-dater-doc-1.0.4-r3.apk2023-04-29 23:47 9.6K
[   ]apt-dater-1.0.4-r3.apk2023-04-29 23:47 58K
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2023-04-26 17:56 3.7K
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2023-04-26 17:56 13K
[   ]py3-testresources-pyc-2.0.1-r5.apk2023-04-26 17:56 16K
[   ]py3-testresources-2.0.1-r5.apk2023-04-26 17:56 16K
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r2.apk2023-04-26 17:56 9.4K
[   ]py3-sphinxcontrib-actdiag-3.0.0-r2.apk2023-04-26 17:56 6.3K
[   ]py3-sphinx-theme-quark-pyc-0.6.0-r2.apk2023-04-26 17:56 8.2K
[   ]py3-sphinx-theme-quark-0.6.0-r2.apk2023-04-26 17:56 10K
[   ]py3-pygelbooru-pyc-0.5.0-r2.apk2023-04-26 17:55 11K
[   ]py3-pygelbooru-0.5.0-r2.apk2023-04-26 17:55 7.8K
[   ]py3-glob2-pyc-0.7-r5.apk2023-04-24 11:29 13K
[   ]py3-glob2-0.7-r5.apk2023-04-24 11:29 8.8K
[   ]castero-pyc-0.9.5-r2.apk2023-04-24 11:28 99K
[   ]castero-0.9.5-r2.apk2023-04-24 11:28 49K
[   ]paperde-dev-0.2.1-r1.apk2023-04-23 08:02 4.9K
[   ]paperde-0.2.1-r1.apk2023-04-23 08:02 667K
[   ]mypaint-pyc-2.0.1-r0.apk2023-04-23 08:02 1.2M
[   ]mypaint-lang-2.0.1-r0.apk2023-04-23 08:02 1.2M
[   ]mypaint-2.0.1-r0.apk2023-04-23 08:02 3.9M
[   ]py3-furl-pyc-2.1.3-r2.apk2023-04-22 14:56 34K
[   ]py3-furl-2.1.3-r2.apk2023-04-22 14:56 20K
[   ]py3-clickclick-pyc-20.10.2-r2.apk2023-04-22 13:46 10K
[   ]py3-clickclick-20.10.2-r2.apk2023-04-22 13:46 7.4K
[   ]tpm2-pkcs11-pyc-1.9.0-r1.apk2023-04-22 12:54 75K
[   ]tpm2-pkcs11-dev-1.9.0-r1.apk2023-04-22 12:54 1.6K
[   ]tpm2-pkcs11-1.9.0-r1.apk2023-04-22 12:54 129K
[   ]py3-actdiag-pyc-3.0.0-r3.apk2023-04-22 03:18 22K
[   ]py3-actdiag-3.0.0-r3.apk2023-04-22 03:18 13K
[   ]xfce4-calculator-plugin-lang-0.7.2-r0.apk2023-04-20 00:25 22K
[   ]xfce4-calculator-plugin-0.7.2-r0.apk2023-04-20 00:25 64K
[   ]xfce4-timer-plugin-lang-1.7.2-r0.apk2023-04-18 22:18 49K
[   ]xfce4-timer-plugin-1.7.2-r0.apk2023-04-18 22:18 26K
[   ]qt5ct-dev-1.7-r0.apk2023-04-18 13:42 1.3K
[   ]qt5ct-1.7-r0.apk2023-04-18 13:42 233K
[   ]clatd-1.6-r0.apk2023-04-18 01:02 12K
[   ]clipit-doc-1.4.5-r2.apk2023-04-17 18:09 2.2K
[   ]clipit-1.4.5-r2.apk2023-04-17 18:09 67K
[   ]t2sz-1.1.2-r0.apk2023-04-16 03:42 9.1K
[   ]gtksourceviewmm4-doc-3.91.1-r2.apk2023-04-16 01:18 1.4M
[   ]gtksourceviewmm4-devhelp-3.91.1-r2.apk2023-04-16 01:17 26K
[   ]gtksourceviewmm4-dev-3.91.1-r2.apk2023-04-16 01:17 54K
[   ]gtksourceviewmm4-3.91.1-r2.apk2023-04-16 01:17 115K
[   ]gtksourceviewmm3-doc-3.21.3-r2.apk2023-04-16 01:17 1.3M
[   ]gtksourceviewmm3-devhelp-3.21.3-r2.apk2023-04-16 01:16 28K
[   ]gtksourceviewmm3-dev-3.21.3-r2.apk2023-04-16 01:16 57K
[   ]gtksourceviewmm3-3.21.3-r2.apk2023-04-16 01:16 119K
[   ]psi-notify-1.3.1-r0.apk2023-04-09 14:03 11K
[   ]aqemu-doc-0.9.4-r3.apk2023-04-08 12:53 7.3K
[   ]aqemu-0.9.4-r3.apk2023-04-08 12:53 1.6M
[   ]litterbox-doc-1.9-r1.apk2023-04-08 10:51 7.0K
[   ]litterbox-1.9-r1.apk2023-04-08 10:51 37K
[   ]crispy-doom-doc-6.0-r0.apk2023-04-01 00:56 85K
[   ]crispy-doom-6.0-r0.apk2023-04-01 00:56 1.9M
[   ]osmctools-0.9-r0.apk2023-03-31 23:22 125K
[   ]spike-1.1.0-r0.apk2023-03-28 19:56 1.5M
[   ]vmtouch-doc-1.3.1-r0.apk2023-03-28 14:18 7.8K
[   ]vmtouch-1.3.1-r0.apk2023-03-28 14:18 13K
[   ]ol-doc-2.4-r0.apk2023-03-28 09:53 2.3K
[   ]ol-2.4-r0.apk2023-03-28 09:53 1.0M
[   ]megatools-doc-1.11.1.20230212-r1.apk2023-03-20 18:04 52K
[   ]megatools-bash-completion-1.11.1.20230212-r1.apk2023-03-20 18:04 3.9K
[   ]megatools-1.11.1.20230212-r1.apk2023-03-20 18:04 65K
[   ]materia-light-kde-yakuake-20220823-r0.apk2023-03-19 23:42 21K
[   ]materia-light-kde-plasma-20220823-r0.apk2023-03-19 23:42 20K
[   ]materia-light-kde-kvantum-20220823-r0.apk2023-03-19 23:42 29K
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2023-03-19 23:42 1.2K
[   ]materia-kde-plasma-20220823-r0.apk2023-03-19 23:42 1.7M
[   ]materia-kde-kvantum-20220823-r0.apk2023-03-19 23:42 30K
[   ]materia-kde-konsole-20220823-r0.apk2023-03-19 23:42 1.6K
[   ]materia-kde-20220823-r0.apk2023-03-19 23:42 19K
[   ]materia-dark-kde-yakuake-20220823-r0.apk2023-03-19 23:42 21K
[   ]materia-dark-kde-plasma-20220823-r0.apk2023-03-19 23:42 503K
[   ]materia-dark-kde-kvantum-20220823-r0.apk2023-03-19 23:42 30K
[   ]materia-dark-kde-konsole-20220823-r0.apk2023-03-19 23:42 1.6K
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2023-03-19 23:42 1.2K
[   ]fdm-doc-2.2-r0.apk2023-03-19 06:17 13K
[   ]fdm-2.2-r0.apk2023-03-19 06:17 129K
[   ]zita-resampler-doc-1.10.1-r0.apk2023-03-18 17:59 3.8K
[   ]zita-resampler-dev-1.10.1-r0.apk2023-03-18 17:59 3.1K
[   ]zita-resampler-1.10.1-r0.apk2023-03-18 17:59 25K
[   ]xa-doc-2.3.14-r0.apk2023-03-18 15:09 15K
[   ]xa-2.3.14-r0.apk2023-03-18 15:09 63K
[   ]mlxl-0.1-r0.apk2023-03-18 11:50 6.1K
[   ]qsstv-9.5.8-r2.apk2023-03-17 20:03 1.0M
[   ]burp-server-3.1.4-r0.apk2023-03-17 20:03 36K
[   ]burp-doc-3.1.4-r0.apk2023-03-17 20:03 99K
[   ]burp-3.1.4-r0.apk2023-03-17 20:03 179K
[   ]portsmf-dev-239-r1.apk2023-03-17 19:52 20K
[   ]portsmf-239-r1.apk2023-03-17 19:52 59K
[   ]qoiconv-0.0.0_git20230312-r0.apk2023-03-17 07:15 31K
[   ]qoi-dev-0.0.0_git20230312-r0.apk2023-03-17 07:15 6.6K
[   ]qoi-0.0.0_git20230312-r0.apk2023-03-17 07:15 1.2K
[   ]lua-resty-upload-0.11-r0.apk2023-03-16 20:39 3.4K
[   ]debconf-utils-1.5.82-r0.apk2023-03-16 15:39 6.4K
[   ]debconf-lang-1.5.82-r0.apk2023-03-16 15:39 132K
[   ]debconf-doc-1.5.82-r0.apk2023-03-16 15:39 27K
[   ]debconf-bash-completion-1.5.82-r0.apk2023-03-16 15:39 1.6K
[   ]debconf-1.5.82-r0.apk2023-03-16 15:39 69K
[   ]lcalc-libs-2.0.5-r1.apk2023-03-15 13:17 211K
[   ]lcalc-doc-2.0.5-r1.apk2023-03-15 13:17 437K
[   ]lcalc-dev-2.0.5-r1.apk2023-03-15 13:17 57K
[   ]lcalc-2.0.5-r1.apk2023-03-15 13:17 185K
[   ]avara-0.7.1-r0.apk2023-03-15 13:17 21M
[   ]advancemame-mess-3.9-r4.apk2023-03-15 13:17 3.9M
[   ]advancemame-menu-3.9-r4.apk2023-03-15 13:17 936K
[   ]advancemame-doc-3.9-r4.apk2023-03-15 13:17 373K
[   ]advancemame-data-3.9-r4.apk2023-03-15 13:17 5.8M
[   ]advancemame-3.9-r4.apk2023-03-15 13:17 13M
[   ]ovpncc-doc-0.1_rc1-r0.apk2023-03-13 13:19 6.3K
[   ]ovpncc-0.1_rc1-r0.apk2023-03-13 13:19 12K
[   ]watchdog-doc-5.16-r1.apk2023-03-13 10:12 14K
[   ]watchdog-5.16-r1.apk2023-03-13 10:12 48K
[   ]ssdfs-tools-dev-4.09-r0.apk2023-03-13 10:12 18K
[   ]ssdfs-tools-4.09-r0.apk2023-03-13 10:12 97K
[   ]sc-im-doc-0.8.3-r0.apk2023-03-13 10:12 4.5K
[   ]sc-im-0.8.3-r0.apk2023-03-13 10:12 170K
[   ]csol-doc-1.6.0-r0.apk2023-03-13 10:10 3.6K
[   ]csol-1.6.0-r0.apk2023-03-13 10:10 40K
[   ]stw-doc-0.3-r0.apk2023-03-06 15:44 2.3K
[   ]stw-0.3-r0.apk2023-03-06 15:44 8.0K
[   ]tup-vim-0.7.11-r0.apk2023-03-06 14:17 2.3K
[   ]tup-doc-0.7.11-r0.apk2023-03-06 14:17 21K
[   ]tup-0.7.11-r0.apk2023-03-06 14:17 247K
[   ]drawing-lang-1.0.2-r0.apk2023-03-06 14:04 272K
[   ]drawing-1.0.2-r0.apk2023-03-06 14:04 3.2M
[   ]tmate-doc-2.4.0-r4.apk2023-03-05 03:20 71K
[   ]tmate-2.4.0-r4.apk2023-03-05 03:20 310K
[   ]py3-kerberos-1.3.1-r4.apk2023-03-02 01:21 18K
[   ]font-material-icons-4.0.0-r0.apk2023-02-26 19:22 652K
[   ]hiprompt-gtk-py-0_git20230225-r0.apk2023-02-25 17:56 6.8K
[   ]spacenavd-1.2-r0.apk2023-02-25 15:06 34K
[   ]orage-lang-4.18.0-r0.apk2023-02-23 23:59 1.2M
[   ]orage-4.18.0-r0.apk2023-02-23 23:59 574K
[   ]nkk-doc-0_git20221010-r0.apk2023-02-23 20:40 6.7K
[   ]nkk-dev-0_git20221010-r0.apk2023-02-23 20:40 2.6K
[   ]nkk-0_git20221010-r0.apk2023-02-23 20:40 16K
[   ]stubby-openrc-0.4.3-r0.apk2023-02-22 17:35 1.5K
[   ]stubby-doc-0.4.3-r0.apk2023-02-22 17:35 13K
[   ]stubby-0.4.3-r0.apk2023-02-22 17:35 22K
[   ]getdns-libs-1.7.3-r0.apk2023-02-22 17:35 190K
[   ]getdns-doc-1.7.3-r0.apk2023-02-22 17:35 101K
[   ]getdns-dev-1.7.3-r0.apk2023-02-22 17:35 34K
[   ]getdns-1.7.3-r0.apk2023-02-22 17:35 31K
[   ]serialdv-libs-1.1.4-r0.apk2023-02-22 12:32 69K
[   ]serialdv-dev-1.1.4-r0.apk2023-02-22 12:32 5.2K
[   ]serialdv-1.1.4-r0.apk2023-02-22 12:32 6.8K
[   ]aptdec-libs-1.8.0-r0.apk2023-02-22 12:32 17K
[   ]aptdec-dev-1.8.0-r0.apk2023-02-22 12:32 3.3K
[   ]aptdec-1.8.0-r0.apk2023-02-22 12:32 87K
[   ]mame-tools-0.251-r0.apk2023-02-22 12:07 2.9M
[   ]mame-plugins-0.251-r0.apk2023-02-22 12:07 166K
[   ]mame-mess-0.251-r0.apk2023-02-22 12:07 54M
[   ]mame-lang-0.251-r0.apk2023-02-22 12:07 1.4M
[   ]mame-doc-0.251-r0.apk2023-02-22 12:07 24K
[   ]mame-data-0.251-r0.apk2023-02-22 12:07 19M
[   ]mame-common-0.251-r0.apk2023-02-22 12:07 2.4K
[   ]mame-arcade-0.251-r0.apk2023-02-22 12:07 70M
[   ]mame-0.251-r0.apk2023-02-22 12:07 100M
[   ]lua-resty-redis-0.29-r0.apk2023-02-16 21:59 5.1K
[   ]speedcrunch-0.12-r3.apk2023-02-16 07:38 1.2M
[   ]scap-workbench-doc-1.2.1-r2.apk2023-02-13 15:25 1.6M
[   ]scap-workbench-1.2.1-r2.apk2023-02-13 15:25 235K
[   ]solanum-lang-3.0.1_git20220607-r1.apk2023-02-13 15:23 30K
[   ]solanum-3.0.1_git20220607-r1.apk2023-02-13 15:23 1.1M
[   ]qpdfview-doc-0.5-r0.apk2023-02-13 15:23 4.0K
[   ]qpdfview-0.5-r0.apk2023-02-13 15:23 1.0M
[   ]chocolate-doom-doc-3.0.1-r3.apk2023-02-13 15:23 122K
[   ]chocolate-doom-3.0.1-r3.apk2023-02-13 15:23 1.9M
[   ]spnavcfg-1.1-r0.apk2023-02-13 07:41 37K
[   ]xob-doc-0.3-r0.apk2023-02-12 01:20 5.7K
[   ]xob-0.3-r0.apk2023-02-12 01:20 10K
[   ]clinfo-doc-3.0.23.01.25-r0.apk2023-02-10 11:39 6.2K
[   ]clinfo-3.0.23.01.25-r0.apk2023-02-10 11:39 48K
[   ]yices2-libs-2.6.4-r0.apk2023-02-10 06:17 1.0M
[   ]yices2-dev-2.6.4-r0.apk2023-02-10 06:17 41K
[   ]yices2-2.6.4-r0.apk2023-02-10 06:17 2.7M
[   ]oh-my-zsh-doc-0_git20220104-r1.apk2023-02-10 01:05 6.9K
[   ]oh-my-zsh-0_git20220104-r1.apk2023-02-10 01:05 1.0M
[   ]sblg-doc-0.5.11-r0.apk2023-02-06 20:24 1.3M
[   ]sblg-0.5.11-r0.apk2023-02-06 20:24 40K
[   ]zafiro-icon-theme-1.3-r0.apk2023-02-05 03:03 19M
[   ]xvidtune-doc-1.0.4-r0.apk2023-02-05 01:37 3.9K
[   ]xvidtune-1.0.4-r0.apk2023-02-05 01:37 17K
[   ]raspberrypi-usbboot-20210701-r2.apk2023-02-03 08:19 1.5M
[   ]roswell-doc-22.12.14.113-r0.apk2023-02-03 07:36 17K
[   ]roswell-22.12.14.113-r0.apk2023-02-03 07:36 115K
[   ]enjoy-0.3-r1.apk2023-02-03 04:59 12K
[   ]pipectl-doc-0.4.1-r1.apk2023-02-01 20:42 2.7K
[   ]pipectl-0.4.1-r1.apk2023-02-01 20:42 6.3K
[   ]lsdvd-doc-0.17-r0.apk2023-01-31 21:08 2.2K
[   ]lsdvd-0.17-r0.apk2023-01-31 21:08 14K
[   ]gnome-common-3.18.0-r3.apk2023-01-29 22:04 11K
[   ]clevis-doc-19-r0.apk2023-01-29 20:28 22K
[   ]clevis-dbg-19-r0.apk2023-01-29 20:28 51K
[   ]clevis-bash-completion-19-r0.apk2023-01-29 20:28 1.8K
[   ]clevis-19-r0.apk2023-01-29 20:28 48K
[   ]flare-game-1.14-r0.apk2023-01-26 20:29 1.9K
[   ]flare-engine-doc-1.14-r0.apk2023-01-26 20:29 2.2K
[   ]flare-engine-1.14-r0.apk2023-01-26 20:29 4.6M
[   ]grip-lang-4.2.4-r0.apk2023-01-26 20:27 144K
[   ]grip-doc-4.2.4-r0.apk2023-01-26 20:27 5.9K
[   ]grip-4.2.4-r0.apk2023-01-26 20:27 383K
[   ]tayga-doc-0.9.2-r0.apk2023-01-23 08:27 5.3K
[   ]tayga-0.9.2-r0.apk2023-01-23 08:27 24K
[   ]dehydrated-0.7.1-r0.apk2023-01-20 23:16 26K
[   ]tcl-curl-doc-7.22.0-r0.apk2023-01-18 20:33 37K
[   ]tcl-curl-7.22.0-r0.apk2023-01-18 20:33 32K
[   ]hyx-2021.06.09-r0.apk2023-01-17 19:52 17K
[   ]pimd-dense-openrc-2.1.0-r0.apk2023-01-14 02:44 1.6K
[   ]pimd-dense-doc-2.1.0-r0.apk2023-01-14 02:44 19K
[   ]pimd-dense-2.1.0-r0.apk2023-01-14 02:44 54K
[   ]wsmancli-doc-2.6.2-r0.apk2023-01-12 16:57 3.4K
[   ]wsmancli-2.6.2-r0.apk2023-01-12 16:57 20K
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2023-01-12 16:57 1.6K
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2023-01-12 16:57 6.3K
[   ]wlrobs-1.0-r4.apk2023-01-08 05:43 19K
[   ]nymphcast-mediaserver-nftables-0.1-r2.apk2023-01-08 05:30 1.5K
[   ]nymphcast-mediaserver-0.1-r2.apk2023-01-08 05:30 76K
[   ]arc-xfwm-20221218-r0.apk2023-01-07 14:34 7.4K
[   ]arc-theme-20221218-r0.apk2023-01-07 14:34 1.2K
[   ]arc-metacity-20221218-r0.apk2023-01-07 14:34 17K
[   ]arc-lighter-xfwm-20221218-r0.apk2023-01-07 14:34 7.5K
[   ]arc-lighter-metacity-20221218-r0.apk2023-01-07 14:34 17K
[   ]arc-lighter-gtk4-20221218-r0.apk2023-01-07 14:34 113K
[   ]arc-lighter-gtk3-20221218-r0.apk2023-01-07 14:34 124K
[   ]arc-lighter-gtk2-20221218-r0.apk2023-01-07 14:34 37K
[   ]arc-lighter-20221218-r0.apk2023-01-07 14:34 1.5K
[   ]arc-gtk4-20221218-r0.apk2023-01-07 14:34 113K
[   ]arc-gtk3-20221218-r0.apk2023-01-07 14:34 126K
[   ]arc-gtk2-20221218-r0.apk2023-01-07 14:34 37K
[   ]arc-gnome-20221218-r0.apk2023-01-07 14:34 28K
[   ]arc-darker-xfwm-20221218-r0.apk2023-01-07 14:34 7.6K
[   ]arc-darker-metacity-20221218-r0.apk2023-01-07 14:34 17K
[   ]arc-darker-gtk4-20221218-r0.apk2023-01-07 14:34 110K
[   ]arc-darker-gtk3-20221218-r0.apk2023-01-07 14:34 123K
[   ]arc-darker-gtk2-20221218-r0.apk2023-01-07 14:34 38K
[   ]arc-darker-20221218-r0.apk2023-01-07 14:34 1.5K
[   ]arc-dark-xfwm-20221218-r0.apk2023-01-07 14:34 7.6K
[   ]arc-dark-metacity-20221218-r0.apk2023-01-07 14:34 17K
[   ]arc-dark-gtk4-20221218-r0.apk2023-01-07 14:34 86K
[   ]arc-dark-gtk3-20221218-r0.apk2023-01-07 14:34 93K
[   ]arc-dark-gtk2-20221218-r0.apk2023-01-07 14:34 38K
[   ]arc-dark-gnome-20221218-r0.apk2023-01-07 14:34 27K
[   ]arc-dark-cinnamon-20221218-r0.apk2023-01-07 14:34 68K
[   ]arc-dark-20221218-r0.apk2023-01-07 14:34 1.5K
[   ]arc-cinnamon-20221218-r0.apk2023-01-07 14:34 68K
[   ]arc-20221218-r0.apk2023-01-07 14:34 1.5K
[   ]flint-dev-2.9.0-r1.apk2023-01-06 22:33 311K
[   ]flint-2.9.0-r1.apk2023-01-06 22:33 5.8M
[   ]apt-mirror-doc-0.5.4-r0.apk2023-01-05 23:23 4.4K
[   ]apt-mirror-0.5.4-r0.apk2023-01-05 23:23 9.2K
[   ]font-tiresias-doc-0_git20200704-r0.apk2023-01-02 23:43 58K
[   ]font-tiresias-0_git20200704-r0.apk2023-01-02 23:43 568K
[   ]mm-common-doc-1.0.5-r0.apk2023-01-01 23:06 31K
[   ]mm-common-1.0.5-r0.apk2023-01-01 23:06 473K
[   ]crosstool-ng-doc-1.25.0-r0.apk2022-12-30 13:27 34K
[   ]crosstool-ng-bash-completion-1.25.0-r0.apk2022-12-30 13:27 1.9K
[   ]crosstool-ng-1.25.0-r0.apk2022-12-30 13:27 1.9M
[   ]gpg-remailer-doc-3.04.07-r0.apk2022-12-30 12:44 9.2K
[   ]gpg-remailer-3.04.07-r0.apk2022-12-30 12:44 41K
[   ]blackbox-1.20220610-r0.apk2022-12-22 19:05 15K
[   ]pdfcrack-0.20-r0.apk2022-12-17 23:31 25K
[   ]xfce4-places-plugin-lang-1.8.3-r0.apk2022-12-16 11:07 54K
[   ]xfce4-places-plugin-1.8.3-r0.apk2022-12-16 11:07 30K
[   ]xsane-lang-0.999-r1.apk2022-12-16 08:48 440K
[   ]xsane-doc-0.999-r1.apk2022-12-16 08:48 4.1K
[   ]xsane-0.999-r1.apk2022-12-16 08:48 1.5M
[   ]php82-pecl-oauth-2.0.8-r0.apk2022-12-12 17:43 37K
[   ]font-chivo-mono-0_git20221110-r0.apk2022-12-09 23:19 626K
[   ]font-chivo-0_git20221110-r0.apk2022-12-09 23:19 792K
[   ]py3-spidev-3.6-r0.apk2022-12-09 00:13 14K
[   ]admesh-doc-0.98.5-r0.apk2022-12-05 11:14 23K
[   ]admesh-dev-0.98.5-r0.apk2022-12-05 11:14 3.8K
[   ]admesh-0.98.5-r0.apk2022-12-05 11:14 29K
[   ]hunspell-ca-es-3.0.7-r0.apk2022-12-04 13:25 731K
[   ]xfd-doc-1.1.4-r0.apk2022-12-04 10:17 4.7K
[   ]xfd-1.1.4-r0.apk2022-12-04 10:17 14K
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2022-12-04 04:49 41K
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2022-12-04 04:49 41K
[   ]py3-pycolorterm-0.2.1-r5.apk2022-11-27 17:51 5.9K
[   ]libwhich-1.2.0-r0.apk2022-11-27 17:49 4.4K
[   ]isomd5sum-doc-1.2.3-r2.apk2022-11-27 17:49 2.7K
[   ]isomd5sum-1.2.3-r2.apk2022-11-27 17:49 32K
[   ]swappy-lang-1.5.1-r0.apk2022-11-21 23:23 3.4K
[   ]swappy-doc-1.5.1-r0.apk2022-11-21 23:23 3.4K
[   ]swappy-1.5.1-r0.apk2022-11-21 23:23 31K
[   ]linux-timemachine-1.3.2-r0.apk2022-11-20 22:09 4.8K
[   ]fatrace-doc-0.17.0-r0.apk2022-11-14 22:14 3.0K
[   ]fatrace-0.17.0-r0.apk2022-11-14 22:14 10K
[   ]xcompmgr-doc-1.1.9-r0.apk2022-11-12 21:48 2.3K
[   ]xcompmgr-1.1.9-r0.apk2022-11-12 21:48 15K
[   ]php82-pecl-teds-1.3.0-r0.apk2022-11-10 15:41 136K
[   ]console_bridge-dev-1.0.2-r0.apk2022-11-08 21:59 4.5K
[   ]console_bridge-1.0.2-r0.apk2022-11-08 21:59 9.7K
[   ]freediameter-libfdproto-1.5.0-r1.apk2022-11-06 11:59 81K
[   ]freediameter-libfdcore-1.5.0-r1.apk2022-11-06 11:59 149K
[   ]freediameter-extensions-1.5.0-r1.apk2022-11-06 11:59 363K
[   ]freediameter-dev-1.5.0-r1.apk2022-11-06 11:59 54K
[   ]freediameter-1.5.0-r1.apk2022-11-06 11:59 9.0K
[   ]zita-njbridge-doc-0.4.8-r1.apk2022-11-02 09:49 5.0K
[   ]zita-njbridge-0.4.8-r1.apk2022-11-02 09:49 31K
[   ]yodl-doc-4.02.00-r1.apk2022-11-02 09:49 59K
[   ]yodl-4.02.00-r1.apk2022-11-02 09:49 151K
[   ]xvkbd-doc-4.1-r2.apk2022-11-02 09:49 11K
[   ]xvkbd-4.1-r2.apk2022-11-02 09:49 301K
[   ]xtitle-0.4.4-r1.apk2022-11-02 09:49 6.8K
[   ]xsoldier-doc-1.8-r1.apk2022-11-02 09:49 2.4K
[   ]xsoldier-1.8-r1.apk2022-11-02 09:49 69K
[   ]xgalaga-doc-2.1.1.0-r1.apk2022-11-02 09:49 2.3K
[   ]xgalaga-2.1.1.0-r1.apk2022-11-02 09:49 312K
[   ]wpa_actiond-openrc-1.4-r7.apk2022-11-02 09:49 2.0K
[   ]wpa_actiond-1.4-r7.apk2022-11-02 09:49 10K
[   ]wordgrinder-doc-0.8-r1.apk2022-11-02 09:49 18K
[   ]wordgrinder-0.8-r1.apk2022-11-02 09:49 493K
[   ]wmi-client-1.3.16-r4.apk2022-11-02 09:49 2.5M
[   ]wlavu-0_git20201101-r1.apk2022-11-02 09:49 13K
[   ]wl-clipboard-x11-doc-5-r3.apk2022-11-02 09:49 2.7K
[   ]wl-clipboard-x11-5-r3.apk2022-11-02 09:49 3.2K
[   ]wbg-1.1.0-r0.apk2022-11-02 09:49 13K
[   ]volumeicon-lang-0.5.1-r1.apk2022-11-02 09:49 3.5K
[   ]volumeicon-0.5.1-r1.apk2022-11-02 09:49 43K
[   ]vbindiff-doc-3.0_beta5-r1.apk2022-11-02 09:49 5.2K
[   ]vbindiff-3.0_beta5-r1.apk2022-11-02 09:49 20K
[   ]uefitool-0.28.0-r1.apk2022-11-02 09:49 407K
[   ]ucspi-tcp-0.88-r2.apk2022-11-02 09:49 121K
[   ]ubase-doc-20200605-r2.apk2022-11-02 09:49 21K
[   ]ubase-20200605-r2.apk2022-11-02 09:49 45K
[   ]topgit-doc-0.19.13-r1.apk2022-11-02 09:49 73K
[   ]topgit-bash-completion-0.19.13-r1.apk2022-11-02 09:49 3.8K
[   ]topgit-0.19.13-r1.apk2022-11-02 09:49 126K
[   ]tncattach-doc-0.1.9-r1.apk2022-11-02 09:49 3.6K
[   ]tncattach-0.1.9-r1.apk2022-11-02 09:49 23K
[   ]timew-doc-1.4.3-r1.apk2022-11-02 09:49 53K
[   ]timew-bash-completion-1.4.3-r1.apk2022-11-02 09:49 2.5K
[   ]timew-1.4.3-r1.apk2022-11-02 09:49 268K
[   ]time-doc-1.9-r1.apk2022-11-02 09:49 15K
[   ]time-1.9-r1.apk2022-11-02 09:49 12K
[   ]termcolor-dev-2.1.0-r0.apk2022-11-02 09:49 6.6K
[   ]termcolor-2.1.0-r0.apk2022-11-02 09:49 1.2K
[   ]sthttpd-openrc-2.27.1-r2.apk2022-11-02 09:49 1.7K
[   ]sthttpd-doc-2.27.1-r2.apk2022-11-02 09:49 18K
[   ]sthttpd-2.27.1-r2.apk2022-11-02 09:49 64K
[   ]sregex-dev-0.0.1-r1.apk2022-11-02 09:49 29K
[   ]sregex-0.0.1-r1.apk2022-11-02 09:49 25K
[   ]sqlar-doc-0_git20180107-r1.apk2022-11-02 09:49 3.0K
[   ]sqlar-0_git20180107-r1.apk2022-11-02 09:49 14K
[   ]spin-doc-6.5.2-r1.apk2022-11-02 09:49 5.6K
[   ]spin-6.5.2-r1.apk2022-11-02 09:49 368K
[   ]spark-2.8.3-r1.apk2022-11-02 09:49 29M
[   ]simh-3.11.1-r1.apk2022-11-02 09:49 3.6M
[   ]simavr-dev-1.7-r1.apk2022-11-02 09:49 807K
[   ]simavr-1.7-r1.apk2022-11-02 09:49 104K
[   ]shfm-doc-0.4.2-r1.apk2022-11-02 09:49 5.9K
[   ]shfm-0.4.2-r1.apk2022-11-02 09:49 3.8K
[   ]shc-4.0.3-r1.apk2022-11-02 09:49 20K
[   ]setroot-doc-2.0.2-r1.apk2022-11-02 09:49 4.2K
[   ]setroot-2.0.2-r1.apk2022-11-02 09:49 12K
[   ]sedutil-doc-1.15.1-r1.apk2022-11-02 09:49 2.8K
[   ]sedutil-1.15.1-r1.apk2022-11-02 09:49 184K
[   ]sdparm-doc-1.12-r1.apk2022-11-02 09:49 19K
[   ]sdparm-1.12-r1.apk2022-11-02 09:49 148K
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2022-11-02 09:49 4.3K
[   ]sblim-wbemcli-1.6.3-r1.apk2022-11-02 09:49 100K
[   ]sbase-doc-0_git20210730-r2.apk2022-11-02 09:49 58K
[   ]sbase-0_git20210730-r2.apk2022-11-02 09:49 127K
[   ]ry-zsh-completion-0.5.2-r1.apk2022-11-02 09:49 2.0K
[   ]ry-bash-completion-0.5.2-r1.apk2022-11-02 09:49 1.7K
[   ]ry-0.5.2-r1.apk2022-11-02 09:49 4.4K
[   ]rvlprog-0.91-r1.apk2022-11-02 09:49 28K
[   ]rtptools-doc-1.22-r2.apk2022-11-02 09:49 12K
[   ]rtptools-1.22-r2.apk2022-11-02 09:49 40K
[   ]rsstail-doc-2.1-r1.apk2022-11-02 09:49 2.3K
[   ]rsstail-2.1-r1.apk2022-11-02 09:49 7.6K
[   ]remake-make-1.5-r1.apk2022-11-02 09:49 1.3K
[   ]remake-doc-1.5-r1.apk2022-11-02 09:49 201K
[   ]remake-dev-1.5-r1.apk2022-11-02 09:49 2.7K
[   ]remake-1.5-r1.apk2022-11-02 09:49 142K
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2022-11-02 09:49 449K
[   ]rclone-browser-1.8.0-r1.apk2022-11-02 09:49 331K
[   ]qstardict-doc-1.3-r1.apk2022-11-02 09:49 11K
[   ]qstardict-1.3-r1.apk2022-11-02 09:49 495K
[   ]qperf-doc-0.4.11-r1.apk2022-11-02 09:49 5.3K
[   ]qperf-0.4.11-r1.apk2022-11-02 09:49 35K
[   ]pwauth-doc-2.3.11-r2.apk2022-11-02 09:49 6.5K
[   ]pwauth-2.3.11-r2.apk2022-11-02 09:49 3.7K
[   ]ptylie-doc-0.2-r1.apk2022-11-02 09:48 2.9K
[   ]ptylie-0.2-r1.apk2022-11-02 09:48 12K
[   ]ptpd-openrc-2.3.1-r1.apk2022-11-02 09:48 2.1K
[   ]ptpd-doc-2.3.1-r1.apk2022-11-02 09:48 20K
[   ]ptpd-2.3.1-r1.apk2022-11-02 09:48 169K
[   ]projectsandcastle-loader-0_git20200307-r1.apk2022-11-02 09:48 4.9K
[   ]pqiv-doc-2.12-r1.apk2022-11-02 09:48 12K
[   ]pqiv-2.12-r1.apk2022-11-02 09:48 68K
[   ]pongoos-loader-0_git20210704-r1.apk2022-11-02 09:48 2.1K
[   ]pmccabe-doc-2.8-r1.apk2022-11-02 09:48 6.9K
[   ]pmccabe-2.8-r1.apk2022-11-02 09:48 28K
[   ]plplot-libs-5.15.0-r2.apk2022-11-02 09:48 205K
[   ]plplot-doc-5.15.0-r2.apk2022-11-02 09:48 310K
[   ]plplot-dev-5.15.0-r2.apk2022-11-02 09:48 59K
[   ]plplot-5.15.0-r2.apk2022-11-02 09:48 31K
[   ]pfetch-0.6.0-r1.apk2022-11-02 09:48 16K
[   ]peg-doc-0.1.18-r1.apk2022-11-02 09:48 13K
[   ]peg-0.1.18-r1.apk2022-11-02 09:48 42K
[   ]pdf2svg-0.2.3-r1.apk2022-11-02 09:48 4.7K
[   ]pastebinc-0.9.1-r2.apk2022-11-02 09:48 11K
[   ]pash-2.3.0-r2.apk2022-11-02 09:48 4.0K
[   ]par-doc-1.53.0-r1.apk2022-11-02 09:48 30K
[   ]par-1.53.0-r1.apk2022-11-02 09:48 14K
[   ]p0f-doc-3.09b-r2.apk2022-11-02 09:48 25K
[   ]p0f-3.09b-r2.apk2022-11-02 09:48 79K
[   ]opkg-utils-doc-0.4.5-r1.apk2022-11-02 09:48 4.3K
[   ]opkg-utils-0.4.5-r1.apk2022-11-02 09:48 24K
[   ]olsrd-plugins-0.9.8-r2.apk2022-11-02 09:48 203K
[   ]olsrd-openrc-0.9.8-r2.apk2022-11-02 09:48 1.6K
[   ]olsrd-doc-0.9.8-r2.apk2022-11-02 09:48 25K
[   ]olsrd-0.9.8-r2.apk2022-11-02 09:48 172K
[   ]objconv-2.52_git20210213-r2.apk2022-11-02 09:48 276K
[   ]noice-doc-0.8-r1.apk2022-11-02 09:48 3.1K
[   ]noice-0.8-r1.apk2022-11-02 09:48 9.7K
[   ]noblenote-1.2.1-r1.apk2022-11-02 09:48 403K
[   ]netsed-1.3-r3.apk2022-11-02 09:48 10K
[   ]n30f-2.0-r3.apk2022-11-02 09:48 7.1K
[   ]mxclient-0_git20211002-r1.apk2022-11-02 09:48 78K
[   ]mspdebug-doc-0.25-r1.apk2022-11-02 09:48 14K
[   ]mspdebug-0.25-r1.apk2022-11-02 09:48 220K
[   ]mrsh-libs-0_git20210518-r1.apk2022-11-02 09:48 64K
[   ]mrsh-dev-0_git20210518-r1.apk2022-11-02 09:48 9.7K
[   ]mrsh-dbg-0_git20210518-r1.apk2022-11-02 09:48 198K
[   ]mrsh-0_git20210518-r1.apk2022-11-02 09:48 5.5K
[   ]mpdcron-zsh-completion-0.3-r1.apk2022-11-02 09:48 2.6K
[   ]mpdcron-doc-0.3-r1.apk2022-11-02 09:48 13K
[   ]mpdcron-dev-0.3-r1.apk2022-11-02 09:48 65K
[   ]mpdcron-0.3-r1.apk2022-11-02 09:48 98K
[   ]moon-buggy-doc-1.0.51-r1.apk2022-11-02 09:48 6.9K
[   ]moon-buggy-1.0.51-r1.apk2022-11-02 09:48 38K
[   ]mkg3a-doc-0.5.0-r1.apk2022-11-02 09:48 2.8K
[   ]mkg3a-0.5.0-r1.apk2022-11-02 09:48 18K
[   ]mjpg-streamer-0_git20210220-r1.apk2022-11-02 09:48 199K
[   ]minimodem-doc-0.24-r1.apk2022-11-02 09:48 4.9K
[   ]minimodem-0.24-r1.apk2022-11-02 09:48 21K
[   ]memdump-doc-1.01-r1.apk2022-11-02 09:48 2.9K
[   ]memdump-1.01-r1.apk2022-11-02 09:48 5.8K
[   ]mdp-doc-1.0.15-r1.apk2022-11-02 09:48 3.4K
[   ]mdp-1.0.15-r1.apk2022-11-02 09:48 18K
[   ]md5ha1-0_git20171202-r1.apk2022-11-02 09:48 8.9K
[   ]materia-gtk3-20210322-r1.apk2022-11-02 09:48 64K
[   ]materia-gtk2-20210322-r1.apk2022-11-02 09:48 38K
[   ]materia-gtk-theme-20210322-r1.apk2022-11-02 09:48 151K
[   ]materia-gnome-shell-20210322-r1.apk2022-11-02 09:48 31K
[   ]materia-dark-gtk3-20210322-r1.apk2022-11-02 09:48 41K
[   ]materia-dark-gtk2-20210322-r1.apk2022-11-02 09:48 37K
[   ]materia-dark-gnome-shell-20210322-r1.apk2022-11-02 09:48 31K
[   ]materia-dark-compact-gtk3-20210322-r1.apk2022-11-02 09:48 41K
[   ]materia-dark-compact-gtk2-20210322-r1.apk2022-11-02 09:48 37K
[   ]materia-dark-compact-gnome-shell-20210322-r1.apk2022-11-02 09:48 31K
[   ]materia-dark-compact-chromium-20210322-r1.apk2022-11-02 09:48 5.5K
[   ]materia-dark-compact-20210322-r1.apk2022-11-02 09:48 1.5K
[   ]materia-dark-chromium-20210322-r1.apk2022-11-02 09:48 5.5K
[   ]materia-dark-20210322-r1.apk2022-11-02 09:48 1.4K
[   ]materia-compact-gtk3-20210322-r1.apk2022-11-02 09:48 64K
[   ]materia-compact-gtk2-20210322-r1.apk2022-11-02 09:48 38K
[   ]materia-compact-gnome-shell-20210322-r1.apk2022-11-02 09:48 31K
[   ]materia-compact-chromium-20210322-r1.apk2022-11-02 09:48 5.5K
[   ]materia-compact-20210322-r1.apk2022-11-02 09:48 1.4K
[   ]materia-chromium-20210322-r1.apk2022-11-02 09:48 5.4K
[   ]materia-20210322-r1.apk2022-11-02 09:48 1.4K
[   ]marxan-4.0.7-r1.apk2022-11-02 09:48 611K
[   ]lua5.4-luastatic-0.0.12-r1.apk2022-11-02 09:48 9.0K
[   ]lua5.3-luastatic-0.0.12-r1.apk2022-11-02 09:48 9.0K
[   ]lua5.2-luastatic-0.0.12-r1.apk2022-11-02 09:48 8.9K
[   ]lua5.1-luastatic-0.0.12-r1.apk2022-11-02 09:48 86K
[   ]lua-luastatic-0.0.12-r1.apk2022-11-02 09:48 1.2K
[   ]lsmash-dev-2.14.5-r2.apk2022-11-02 09:48 408K
[   ]lsmash-2.14.5-r2.apk2022-11-02 09:48 295K
[   ]lrzsz-doc-0.12.20-r2.apk2022-11-02 09:48 15K
[   ]lrzsz-0.12.20-r2.apk2022-11-02 09:48 68K
[   ]lockrun-1.1.3-r1.apk2022-11-02 09:48 5.4K
[   ]llmnrd-openrc-0.7-r1.apk2022-11-02 09:47 1.6K
[   ]llmnrd-doc-0.7-r1.apk2022-11-02 09:47 2.8K
[   ]llmnrd-0.7-r1.apk2022-11-02 09:47 18K
[   ]libwmiclient-dev-1.3.16-r4.apk2022-11-02 09:47 1.5K
[   ]libwmiclient-1.3.16-r4.apk2022-11-02 09:47 1.5M
[   ]liboggz-doc-1.1.1-r2.apk2022-11-02 09:47 134K
[   ]liboggz-dev-1.1.1-r2.apk2022-11-02 09:47 170K
[   ]liboggz-1.1.1-r2.apk2022-11-02 09:47 129K
[   ]libmustache-0.5.0-r1.apk2022-11-02 09:47 86K
[   ]libemf2svg-utils-1.1.0-r2.apk2022-11-02 09:47 20K
[   ]libemf2svg-1.1.0-r2.apk2022-11-02 09:47 164K
[   ]libantic-dev-0.2.5-r0.apk2022-11-02 09:47 6.1K
[   ]libantic-0.2.5-r0.apk2022-11-02 09:47 50K
[   ]lemonbar-doc-1.4-r1.apk2022-11-02 09:47 5.6K
[   ]lemonbar-1.4-r1.apk2022-11-02 09:47 15K
[   ]jbigkit-doc-2.1-r2.apk2022-11-02 09:47 7.1K
[   ]jbigkit-dev-2.1-r2.apk2022-11-02 09:47 31K
[   ]jbigkit-2.1-r2.apk2022-11-02 09:47 66K
[   ]isoinfo-0_git20131217-r1.apk2022-11-02 09:47 6.7K
[   ]iprange-doc-1.0.4-r1.apk2022-11-02 09:47 4.3K
[   ]iprange-1.0.4-r1.apk2022-11-02 09:47 20K
[   ]initify-0_git20171210-r1.apk2022-11-02 09:47 3.0K
[   ]imrsh-dbg-0_git20210320-r1.apk2022-11-02 09:47 19K
[   ]imrsh-0_git20210320-r1.apk2022-11-02 09:47 9.0K
[   ]i2util-doc-4.2.1-r1.apk2022-11-02 09:47 4.5K
[   ]i2util-dev-4.2.1-r1.apk2022-11-02 09:47 48K
[   ]i2util-4.2.1-r1.apk2022-11-02 09:47 23K
[   ]hsetroot-1.0.5-r1.apk2022-11-02 09:47 12K
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2022-11-02 09:47 132K
[   ]hidrd-0.2.0_git20190603-r1.apk2022-11-02 09:47 80K
[   ]hexdiff-doc-0.0.53-r2.apk2022-11-02 09:47 3.5K
[   ]hexdiff-0.0.53-r2.apk2022-11-02 09:47 16K
[   ]guetzli-dev-0_git20191025-r1.apk2022-11-02 09:47 2.3M
[   ]guetzli-0_git20191025-r1.apk2022-11-02 09:47 183K
[   ]gstreamermm-dev-1.10.0-r4.apk2022-11-02 09:47 320K
[   ]gstreamermm-1.10.0-r4.apk2022-11-02 09:47 522K
[   ]gpa-doc-0.10.0-r2.apk2022-11-02 09:47 2.6K
[   ]gpa-0.10.0-r2.apk2022-11-02 09:47 246K
[   ]git-secret-doc-0.5.0-r0.apk2022-11-02 09:47 17K
[   ]git-secret-0.5.0-r0.apk2022-11-02 09:47 14K
[   ]geomyidae-openrc-0.34-r2.apk2022-11-02 09:47 1.7K
[   ]geomyidae-doc-0.34-r2.apk2022-11-02 09:47 7.4K
[   ]geomyidae-0.34-r2.apk2022-11-02 09:47 16K
[   ]fzy-doc-1.0-r3.apk2022-11-02 09:47 2.5K
[   ]fzy-1.0-r3.apk2022-11-02 09:47 16K
[   ]flauschige-uhr-0.1-r1.apk2022-11-02 09:47 4.3K
[   ]fatresize-doc-1.1.0-r1.apk2022-11-02 09:47 15K
[   ]fatresize-1.1.0-r1.apk2022-11-02 09:47 8.6K
[   ]fatback-doc-1.3-r2.apk2022-11-02 09:47 16K
[   ]fatback-1.3-r2.apk2022-11-02 09:47 29K
[   ]epoch-1.3.0-r1.apk2022-11-02 09:47 54K
[   ]enlighten-doc-0.9.2-r1.apk2022-11-02 09:47 3.2K
[   ]enlighten-0.9.2-r1.apk2022-11-02 09:47 7.9K
[   ]eatmemory-0.1.6-r2.apk2022-11-02 09:47 4.3K
[   ]dustracing2d-2.1.1-r1.apk2022-11-02 09:47 5.2M
[   ]ddserver-0_git20200930-r1.apk2022-11-02 09:46 13K
[   ]daemontools-0.76-r2.apk2022-11-02 09:46 109K
[   ]curlpp-dev-0.8.1-r1.apk2022-11-02 09:46 68K
[   ]curlpp-0.8.1-r1.apk2022-11-02 09:46 30K
[   ]curlftpfs-doc-0.9.2-r3.apk2022-11-02 09:46 5.9K
[   ]curlftpfs-0.9.2-r3.apk2022-11-02 09:46 24K
[   ]ctorrent-dnh-3.3.2-r2.apk2022-11-02 09:46 88K
[   ]csmith-doc-2.3.0-r1.apk2022-11-02 09:46 2.8K
[   ]csmith-2.3.0-r1.apk2022-11-02 09:46 310K
[   ]crazydiskinfo-1.1.0-r1.apk2022-11-02 09:46 34K
[   ]cowsay-doc-3.04-r2.apk2022-11-02 09:46 3.7K
[   ]cowsay-3.04-r2.apk2022-11-02 09:46 18K
[   ]colorpicker-0_git20201128-r1.apk2022-11-02 09:46 4.1K
[   ]cgo-doc-0.6.1-r1.apk2022-11-02 09:46 3.9K
[   ]cgo-0.6.1-r1.apk2022-11-02 09:46 10K
[   ]catdoc-doc-0.95-r1.apk2022-11-02 09:46 9.0K
[   ]catdoc-0.95-r1.apk2022-11-02 09:46 112K
[   ]bwrap-oci-doc-0.2-r1.apk2022-11-02 09:46 2.2K
[   ]bwrap-oci-0.2-r1.apk2022-11-02 09:46 16K
[   ]birdtray-1.9.0-r1.apk2022-11-02 09:46 410K
[   ]bgs-doc-0.8-r1.apk2022-11-02 09:46 2.0K
[   ]bgs-0.8-r1.apk2022-11-02 09:46 5.6K
[   ]bchunk-doc-1.2.2-r2.apk2022-11-02 09:46 2.8K
[   ]bchunk-1.2.2-r2.apk2022-11-02 09:46 8.8K
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2022-11-02 09:46 2.5K
[   ]barnyard2-2.1.14_git20160413-r1.apk2022-11-02 09:46 129K
[   ]backup-manager-0.7.15-r1.apk2022-11-02 09:46 55K
[   ]b2sum-doc-20190729-r2.apk2022-11-02 09:46 2.5K
[   ]b2sum-20190729-r2.apk2022-11-02 09:46 15K
[   ]atool-doc-0.39.0-r4.apk2022-11-02 09:46 9.3K
[   ]atool-bash-completion-0.39.0-r4.apk2022-11-02 09:46 1.8K
[   ]atool-0.39.0-r4.apk2022-11-02 09:46 17K
[   ]afetch-doc-2.2.0-r1.apk2022-11-02 09:46 13K
[   ]afetch-2.2.0-r1.apk2022-11-02 09:46 9.3K
[   ]advancescan-doc-1.18-r1.apk2022-11-02 09:46 7.0K
[   ]advancescan-1.18-r1.apk2022-11-02 09:46 274K
[   ]miraclecast-bash-completion-1.0_git20221016-r0.apk2022-10-21 23:53 2.6K
[   ]miraclecast-1.0_git20221016-r0.apk2022-10-21 23:53 157K
[   ]libettercap-0.8.3.1-r2.apk2022-10-21 23:53 201K
[   ]hopalong-0.1-r3.apk2022-10-21 23:53 23K
[   ]ettercap-doc-0.8.3.1-r2.apk2022-10-21 23:53 45K
[   ]ettercap-0.8.3.1-r2.apk2022-10-21 23:53 611K
[   ]avarice-doc-2.14-r3.apk2022-10-21 23:52 9.2K
[   ]avarice-2.14-r3.apk2022-10-21 23:52 102K
[   ]wput-doc-0.6.2-r4.apk2022-10-14 18:16 8.2K
[   ]wput-0.6.2-r4.apk2022-10-14 18:16 41K
[   ]tinyscheme-1.42-r1.apk2022-10-14 18:16 67K
[   ]tanidvr-dhav2mkv-1.4.1-r1.apk2022-10-14 18:16 11K
[   ]tanidvr-1.4.1-r1.apk2022-10-14 18:16 23K
[   ]pfqueue-doc-0.5.6-r1.apk2022-10-14 18:16 6.2K
[   ]pfqueue-dev-0.5.6-r1.apk2022-10-14 18:16 25K
[   ]pfqueue-0.5.6-r1.apk2022-10-14 18:16 56K
[   ]pamtester-doc-0.1.2-r3.apk2022-10-14 18:16 2.9K
[   ]pamtester-0.1.2-r3.apk2022-10-14 18:16 9.3K
[   ]mp3val-0.1.8-r1.apk2022-10-14 18:16 14K
[   ]menumaker-0.99.14-r1.apk2022-10-14 18:16 111K
[   ]libmhash-doc-0.9.9.9-r3.apk2022-10-14 18:16 8.2K
[   ]libmhash-dev-0.9.9.9-r3.apk2022-10-14 18:16 113K
[   ]libmhash-0.9.9.9-r3.apk2022-10-14 18:16 99K
[   ]idesk-1-r1.apk2022-10-14 18:16 72K
[   ]htmlcxx-dev-0.87-r1.apk2022-10-14 18:16 21K
[   ]htmlcxx-0.87-r1.apk2022-10-14 18:16 66K
[   ]extundelete-0.2.4-r1.apk2022-10-14 18:16 40K
[   ]dvdbackup-lang-0.4.2-r1.apk2022-10-14 18:16 1.4K
[   ]dvdbackup-doc-0.4.2-r1.apk2022-10-14 18:16 7.6K
[   ]dvdbackup-0.4.2-r1.apk2022-10-14 18:16 17K
[   ]cscope-doc-15.9-r1.apk2022-10-14 18:16 7.5K
[   ]cscope-15.9-r1.apk2022-10-14 18:16 154K
[   ]arj-doc-0_git20220125-r1.apk2022-10-14 18:16 10K
[   ]arj-0_git20220125-r1.apk2022-10-14 18:16 132K
[   ]tpp-bypass-0.8.4-r0.apk2022-10-12 21:47 14K
[   ]terminalpp-ropen-0.8.4-r0.apk2022-10-12 21:47 59K
[   ]terminalpp-0.8.4-r0.apk2022-10-12 21:47 440K
[   ]font-fontawesome-4-4.7.0-r3.apk2022-10-11 04:14 205K
[   ]font-anonymous-pro-1.002-r2.apk2022-10-11 04:14 264K
[   ]cadence-0.9.2-r0.apk2022-10-09 17:23 1.9M
[   ]ngs-vim-0.2.14-r0.apk2022-10-09 00:05 4.9K
[   ]ngs-aws-0.2.14-r0.apk2022-10-09 00:05 33K
[   ]ngs-0.2.14-r0.apk2022-10-09 00:05 295K
[   ]font-tamzen-1.11.5-r1.apk2022-10-08 19:19 62K
[   ]font-siji-20190218_git-r2.apk2022-10-08 19:19 24K
[   ]shntool-doc-3.0.10-r4.apk2022-10-08 18:06 10K
[   ]shntool-3.0.10-r4.apk2022-10-08 18:06 61K
[   ]java-jtharness-examples-6.0_p12-r0.apk2022-10-05 21:12 219K
[   ]java-jtharness-doc-6.0_p12-r0.apk2022-10-05 21:12 12K
[   ]java-jtharness-6.0_p12-r0.apk2022-10-05 21:12 4.0M
[   ]libtsm-dev-4.0.2-r0.apk2022-10-05 02:06 9.6K
[   ]libtsm-4.0.2-r0.apk2022-10-05 02:06 27K
[   ]spampd-openrc-2.61-r1.apk2022-10-02 16:57 2.1K
[   ]spampd-2.61-r1.apk2022-10-02 16:57 39K
[   ]bitlbee-facebook-1.2.2-r0.apk2022-10-02 01:56 61K
[   ]tmux-resurrect-doc-4.0.0-r0.apk2022-09-21 10:37 8.4K
[   ]tmux-resurrect-4.0.0-r0.apk2022-09-21 10:37 14K
[   ]font-katex-0.16.2-r0.apk2022-09-20 21:46 852K
[   ]zile-doc-2.6.2-r0.apk2022-09-20 02:56 16K
[   ]zile-2.6.2-r0.apk2022-09-20 02:56 129K
[   ]sigrok-cli-doc-0.7.2-r0.apk2022-09-19 12:28 8.0K
[   ]sigrok-cli-0.7.2-r0.apk2022-09-19 12:28 40K
[   ]lzfse-dev-1.0-r0.apk2022-09-17 11:57 3.4K
[   ]lzfse-1.0-r0.apk2022-09-17 11:57 20K
[   ]php82-pecl-vld-0.18.0-r0.apk2022-09-17 05:35 17K
[   ]mcjoin-doc-2.11-r0.apk2022-09-12 10:37 54K
[   ]mcjoin-2.11-r0.apk2022-09-12 10:37 27K
[   ]ccze-doc-0.2.1-r1.apk2022-09-07 23:01 8.8K
[   ]ccze-dev-0.2.1-r1.apk2022-09-07 23:01 3.3K
[   ]ccze-0.2.1-r1.apk2022-09-07 23:01 81K
[   ]hashcat-doc-6.2.6-r0.apk2022-09-05 01:50 2.1M
[   ]hashcat-6.2.6-r0.apk2022-09-05 01:50 69M
[   ]wlroots0.12-dev-0.12.0-r1.apk2022-09-02 20:55 61K
[   ]wlroots0.12-dbg-0.12.0-r1.apk2022-09-02 20:55 959K
[   ]wlroots0.12-0.12.0-r1.apk2022-09-02 20:55 257K
[   ]beard-doc-0.4-r0.apk2022-08-28 19:05 2.5K
[   ]beard-0.4-r0.apk2022-08-28 19:05 3.1K
[   ]dhewm3-1.5.2-r0.apk2022-08-24 10:00 4.6M
[   ]font-teluguvijayam-20190525-r1.apk2022-08-21 17:19 3.7M
[   ]neo4j-client-doc-2.2.0-r3.apk2022-08-20 22:31 5.4K
[   ]neo4j-client-2.2.0-r3.apk2022-08-20 22:31 30K
[   ]libneo4j-client-dev-2.2.0-r3.apk2022-08-20 22:31 123K
[   ]libneo4j-client-2.2.0-r3.apk2022-08-20 22:31 73K
[   ]rue-0.3.0-r1.apk2022-08-20 15:35 42M
[   ]avdl-doc-0.4.3-r1.apk2022-08-20 15:32 2.7K
[   ]avdl-0.4.3-r1.apk2022-08-20 15:32 206K
[   ]anarch-doc-1.0-r1.apk2022-08-20 15:32 18K
[   ]anarch-1.0-r1.apk2022-08-20 15:32 106K
[   ]font-firamath-0.3.4-r0.apk2022-08-18 21:20 118K
[   ]mbrola-3.3-r0.apk2022-08-16 03:57 24K
[   ]icingaweb2-module-businessprocess-doc-2.4.0-r0.apk2022-08-15 17:56 2.2M
[   ]icingaweb2-module-businessprocess-2.4.0-r0.apk2022-08-15 17:56 116K
[   ]lua-fn-0.1.0-r0.apk2022-08-15 17:45 3.4K
[   ]berry-lang-1.1.0-r0.apk2022-08-13 00:35 132K
[   ]herbe-1.0.0-r0.apk2022-08-10 15:17 6.0K
[   ]fox-utils-1.6.57-r0.apk2022-08-08 12:58 7.3K
[   ]fox-shutterbug-1.6.57-r0.apk2022-08-08 12:58 21K
[   ]fox-pathfinder-1.6.57-r0.apk2022-08-08 12:58 51K
[   ]fox-doc-1.6.57-r0.apk2022-08-08 12:58 2.0M
[   ]fox-dev-1.6.57-r0.apk2022-08-08 12:58 1.8M
[   ]fox-calculator-1.6.57-r0.apk2022-08-08 12:58 34K
[   ]fox-adie-1.6.57-r0.apk2022-08-08 12:58 125K
[   ]fox-1.6.57-r0.apk2022-08-08 12:58 1.1M
[   ]j4-dmenu-desktop-2.18-r1.apk2022-08-06 14:48 50K
[   ]ustream-ssl-dev-20220116-r1.apk2022-08-04 16:28 2.6K
[   ]ustream-ssl-20220116-r1.apk2022-08-04 16:28 7.6K
[   ]opensmtpd-filter-dkimsign-doc-0.6-r1.apk2022-08-04 14:09 3.6K
[   ]opensmtpd-filter-dkimsign-0.6-r1.apk2022-08-04 14:09 16K
[   ]barrier-doc-2.4.0-r1.apk2022-08-04 14:03 13K
[   ]barrier-2.4.0-r1.apk2022-08-04 14:03 969K
[   ]xva-img-1.4.2-r1.apk2022-08-04 08:49 14K
[   ]peervpn-openrc-0.044-r5.apk2022-08-04 08:44 1.8K
[   ]peervpn-0.044-r5.apk2022-08-04 08:44 40K
[   ]opmsg-1.84-r1.apk2022-08-04 08:44 294K
[   ]mktorrent-borg-doc-0.9.9-r1.apk2022-08-04 08:44 2.5K
[   ]mktorrent-borg-0.9.9-r1.apk2022-08-04 08:44 11K
[   ]meson-tools-doc-0.1-r1.apk2022-08-04 08:44 8.4K
[   ]meson-tools-0.1-r1.apk2022-08-04 08:44 12K
[   ]commoncpp-tools-7.0.1-r1.apk2022-08-04 08:40 62K
[   ]commoncpp-doc-7.0.1-r1.apk2022-08-04 08:40 15K
[   ]commoncpp-dev-7.0.1-r1.apk2022-08-04 08:40 173K
[   ]commoncpp-7.0.1-r1.apk2022-08-04 08:40 306K
[   ]btpd-doc-0.16-r2.apk2022-08-04 08:40 8.4K
[   ]btpd-0.16-r2.apk2022-08-04 08:40 88K
[   ]hatop-doc-0.8.2-r0.apk2022-08-01 19:46 3.0K
[   ]hatop-0.8.2-r0.apk2022-08-01 19:46 18K
[   ]a2jmidid-doc-9-r3.apk2022-08-01 08:21 4.2K
[   ]a2jmidid-9-r3.apk2022-08-01 08:21 31K
[   ]mpdris2-lang-0.9.1-r3.apk2022-07-28 01:56 2.3K
[   ]mpdris2-doc-0.9.1-r3.apk2022-07-28 01:56 15K
[   ]mpdris2-0.9.1-r3.apk2022-07-28 01:56 15K
[   ]witchery-0.0.3-r2.apk2022-07-26 09:52 3.2K
[   ]pixiewps-doc-1.4.2-r1.apk2022-07-26 09:52 3.4K
[   ]pixiewps-1.4.2-r1.apk2022-07-26 09:52 39K
[   ]lua5.2-penlight-doc-1.3.0-r1.apk2022-07-26 09:50 65K
[   ]lua5.2-penlight-1.3.0-r1.apk2022-07-26 09:50 99K
[   ]freealut-dev-1.1.0-r1.apk2022-07-26 09:50 25K
[   ]freealut-1.1.0-r1.apk2022-07-26 09:50 19K
[   ]flann-doc-1.9.1-r4.apk2022-07-26 09:50 2.6K
[   ]flann-dev-1.9.1-r4.apk2022-07-26 09:50 1.0M
[   ]flann-1.9.1-r4.apk2022-07-26 09:50 885K
[   ]compton-conf-0.16.0-r1.apk2022-07-26 09:50 58K
[   ]font-fira-code-vf-6.2-r0.apk2022-07-26 04:52 145K
[   ]font-fira-code-6.2-r0.apk2022-07-26 04:52 836K
[   ]boson-0_git20211219-r0.apk2022-07-10 20:36 19K
[   ]lumina-desktop-textedit-1.6.2-r0.apk2022-07-05 21:11 194K
[   ]lumina-desktop-sudo-1.6.2-r0.apk2022-07-05 21:11 97K
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2022-07-05 21:11 169K
[   ]lumina-desktop-photo-1.6.2-r0.apk2022-07-05 21:11 126K
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2022-07-05 21:11 200K
[   ]lumina-desktop-fm-1.6.2-r0.apk2022-07-05 21:11 394K
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2022-07-05 21:11 160K
[   ]lumina-desktop-doc-1.6.2-r0.apk2022-07-05 21:11 12K
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2022-07-05 21:11 825K
[   ]lumina-desktop-core-1.6.2-r0.apk2022-07-05 21:11 9.0M
[   ]lumina-desktop-archiver-1.6.2-r0.apk2022-07-05 21:11 166K
[   ]lumina-desktop-1.6.2-r0.apk2022-07-05 21:11 1.2K
[   ]bitlbee-mastodon-1.4.5-r0.apk2022-07-05 17:19 48K
[   ]libmdbx-doc-0.11.8-r0.apk2022-07-02 06:27 8.9K
[   ]libmdbx-dev-0.11.8-r0.apk2022-07-02 06:27 93K
[   ]libmdbx-dbg-0.11.8-r0.apk2022-07-02 06:27 2.4M
[   ]libmdbx-0.11.8-r0.apk2022-07-02 06:27 826K
[   ]jack_capture-0.9.73_git20210429-r2.apk2022-07-01 04:21 36K
[   ]wlopm-doc-0.1.0-r0.apk2022-06-28 17:08 2.0K
[   ]wlopm-0.1.0-r0.apk2022-06-28 17:08 7.2K
[   ]envsubst-0.1-r1.apk2022-06-28 07:30 5.1K
[   ]luksmeta-doc-9-r0.apk2022-06-17 13:58 5.5K
[   ]luksmeta-dev-9-r0.apk2022-06-17 13:58 3.1K
[   ]luksmeta-9-r0.apk2022-06-17 13:58 15K
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2022-06-16 17:51 3.3K
[   ]xiccd-0.3.0_git20211219-r1.apk2022-06-16 17:51 17K
[   ]fff-doc-2.2-r0.apk2022-06-15 22:50 9.0K
[   ]fff-2.2-r0.apk2022-06-15 22:50 11K
[   ]nmap-parse-output-doc-1.5.1-r0.apk2022-06-12 23:55 807K
[   ]nmap-parse-output-bash-completion-1.5.1-r0.apk2022-06-12 23:55 1.7K
[   ]nmap-parse-output-1.5.1-r0.apk2022-06-12 23:55 21K
[   ]jdebp-redo-doc-1.4-r1.apk2022-06-11 23:17 12K
[   ]jdebp-redo-1.4-r1.apk2022-06-11 23:17 99K
[   ]soapy-bladerf-0.4.1-r0.apk2022-06-09 14:34 42K
[   ]uclient-fetch-20210514-r0.apk2022-06-09 03:01 9.8K
[   ]uclient-dev-20210514-r0.apk2022-06-09 03:01 3.2K
[   ]uclient-20210514-r0.apk2022-06-09 03:01 14K
[   ]ccrtp-doc-2.1.2-r0.apk2022-06-04 23:01 31K
[   ]ccrtp-dev-2.1.2-r0.apk2022-06-04 23:01 53K
[   ]ccrtp-2.1.2-r0.apk2022-06-04 23:01 96K
[   ]p910nd-openrc-0.97-r2.apk2022-06-04 14:38 1.8K
[   ]p910nd-doc-0.97-r2.apk2022-06-04 14:38 3.0K
[   ]p910nd-0.97-r2.apk2022-06-04 14:38 8.8K
[   ]php81-pecl-csv-0.4.2-r0.apk2022-06-03 07:54 10K
[   ]luacov-html-1.0.0-r1.apk2022-06-02 17:04 1.2K
[   ]lua5.3-luacov-html-1.0.0-r1.apk2022-06-02 17:04 413K
[   ]lua5.2-luacov-html-1.0.0-r1.apk2022-06-02 17:04 413K
[   ]lua5.1-luacov-html-1.0.0-r1.apk2022-06-02 17:04 413K
[   ]ecos-dev-2.0.10-r0.apk2022-05-24 00:06 28K
[   ]ecos-2.0.10-r0.apk2022-05-24 00:06 43K
[   ]foolsm-openrc-1.0.21-r0.apk2022-05-21 14:41 1.5K
[   ]foolsm-doc-1.0.21-r0.apk2022-05-21 14:41 3.9K
[   ]foolsm-1.0.21-r0.apk2022-05-21 14:41 34K
[   ]pam-krb5-doc-4.11-r0.apk2022-05-16 16:17 23K
[   ]pam-krb5-4.11-r0.apk2022-05-16 16:17 24K
[   ]catcodec-doc-1.0.5-r2.apk2022-05-15 15:29 4.9K
[   ]catcodec-1.0.5-r2.apk2022-05-15 15:29 13K
[   ]xload-doc-1.1.4-r0.apk2022-05-12 10:40 3.2K
[   ]xload-1.1.4-r0.apk2022-05-12 10:40 7.3K
[   ]aspell-es-1.11-r0.apk2022-05-12 10:37 533K
[   ]php81-tideways_xhprof-5.0.4-r1.apk2022-05-10 22:34 14K
[   ]php81-pecl-oauth-2.0.7-r0.apk2022-05-10 22:34 36K
[   ]nlopt-doc-2.7.1-r0.apk2022-05-06 11:53 23K
[   ]nlopt-dev-2.7.1-r0.apk2022-05-06 11:53 12K
[   ]nlopt-2.7.1-r0.apk2022-05-06 11:53 194K
[   ]tdrop-doc-0.5.0-r0.apk2022-05-05 22:56 8.9K
[   ]tdrop-0.5.0-r0.apk2022-05-05 22:56 12K
[   ]nbsdgames-doc-5-r0.apk2022-05-04 15:20 9.4K
[   ]nbsdgames-5-r0.apk2022-05-04 15:20 144K
[   ]slurm-doc-0.4.4-r0.apk2022-05-02 02:14 2.3K
[   ]slurm-0.4.4-r0.apk2022-05-02 02:14 15K
[   ]twemproxy-doc-0.5.0-r0.apk2022-04-30 10:20 17K
[   ]twemproxy-0.5.0-r0.apk2022-04-30 10:20 71K
[   ]bakelite-0.4.2-r0.apk2022-04-28 18:37 40K
[   ]wiremapper-0.10.0-r0.apk2022-04-26 22:41 22K
[   ]libretro-xrick-0_git20220331-r0.apk2022-04-21 12:27 132K
[   ]libretro-tyrquake-0_git20220409-r0.apk2022-04-21 12:27 468K
[   ]libretro-snes9x-0_git20220414-r0.apk2022-04-21 12:27 701K
[   ]libretro-pocketcdg-0_git20220327-r0.apk2022-04-21 12:27 95K
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2022-04-21 12:27 575K
[   ]libretro-opera-0_git20211214-r0.apk2022-04-21 12:27 201K
[   ]libretro-openlara-0_git20210121-r0.apk2022-04-21 12:27 592K
[   ]libretro-nxengine-0_git20220301-r0.apk2022-04-21 12:27 353K
[   ]libretro-neocd-0_git20220325-r0.apk2022-04-21 12:27 507K
[   ]libretro-mu-0_git20220317-r0.apk2022-04-21 12:27 199K
[   ]libretro-mame2003-0_git20220206-r0.apk2022-04-21 12:27 8.6M
[   ]libretro-mame2000-0_git20220413-r0.apk2022-04-21 12:27 3.6M
[   ]libretro-gw-0_git20220410-r0.apk2022-04-21 12:27 218K
[   ]libretro-gong-0_git20220319-r0.apk2022-04-21 12:27 9.0K
[   ]libretro-fuse-0_git20220417-r0.apk2022-04-21 12:27 1.0M
[   ]libretro-frodo-0_git20221221-r0.apk2022-04-21 12:27 171K
[   ]libretro-freeintv-0_git20220319-r0.apk2022-04-21 12:27 40K
[   ]libretro-fbneo-0_git20220416-r0.apk2022-04-21 12:27 13M
[   ]libretro-dinothawr-0_git20220401-r0.apk2022-04-21 12:27 150K
[   ]libretro-cap32-0_git20220419-r0.apk2022-04-21 12:27 314K
[   ]libretro-bluemsx-0_git20220213-r0.apk2022-04-21 12:27 720K
[   ]libretro-blastem-0_git20210810-r0.apk2022-04-21 12:27 277K
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2022-04-21 12:27 504K
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2022-04-21 12:27 1.7M
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2022-04-21 12:27 366K
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2022-04-21 12:27 494K
[   ]libretro-atari800-0_git20220327-r0.apk2022-04-21 12:27 304K
[   ]libretro-theodore-3.1-r0.apk2022-04-19 23:12 874K
[   ]soundfont-vintage-dreams-waves-doc-2.1-r1.apk2022-04-17 08:28 1.9K
[   ]soundfont-vintage-dreams-waves-2.1-r1.apk2022-04-17 08:28 91K
[   ]nsnake-doc-3.0.0-r0.apk2022-04-15 14:08 2.6K
[   ]nsnake-3.0.0-r0.apk2022-04-15 14:08 9.9K
[   ]liquibase-doc-4.9.1-r0.apk2022-04-11 13:28 57K
[   ]liquibase-4.9.1-r0.apk2022-04-11 13:28 32M
[   ]deutex-5.2.2-r1.apk2022-04-10 23:14 75K
[   ]levmar-dev-2.6-r0.apk2022-04-06 14:15 51K
[   ]libwbxml-doc-0.11.8-r0.apk2022-03-19 10:09 28K
[   ]libwbxml-dev-0.11.8-r0.apk2022-03-19 10:09 9.0K
[   ]libwbxml-0.11.8-r0.apk2022-03-19 10:09 80K
[   ]tremc-zsh-completion-0.9.3-r0.apk2022-03-18 20:20 1.6K
[   ]tremc-doc-0.9.3-r0.apk2022-03-18 20:20 2.6K
[   ]tremc-bash-completion-0.9.3-r0.apk2022-03-18 20:20 1.7K
[   ]tremc-0.9.3-r0.apk2022-03-18 20:20 48K
[   ]pimd-openrc-3.0_git20220201-r0.apk2022-03-06 11:30 1.6K
[   ]pimd-doc-3.0_git20220201-r0.apk2022-03-06 11:30 35K
[   ]pimd-3.0_git20220201-r0.apk2022-03-06 11:30 87K
[   ]ace-of-penguins-doc-1.4-r2.apk2022-03-01 11:21 48K
[   ]ace-of-penguins-1.4-r2.apk2022-03-01 11:21 194K
[   ]toss-1.1-r0.apk2022-02-27 21:45 14K
[   ]pully-openrc-1.0.0-r0.apk2022-02-27 21:44 1.7K
[   ]pully-1.0.0-r0.apk2022-02-27 21:44 2.5K
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2022-02-22 09:21 5.1K
[   ]xfce4-hamster-plugin-1.17-r0.apk2022-02-22 09:21 38K
[   ]endlessh-doc-1.1-r0.apk2022-02-20 19:54 2.4K
[   ]endlessh-1.1-r0.apk2022-02-20 19:54 9.6K
[   ]wshowkeys-1.0-r0.apk2022-02-20 17:32 15K
[   ]libopensmtpd-doc-0.7-r0.apk2022-02-19 02:19 5.0K
[   ]libopensmtpd-dev-0.7-r0.apk2022-02-19 02:19 3.1K
[   ]libopensmtpd-0.7-r0.apk2022-02-19 02:19 20K
[   ]wmctrl-doc-1.07-r1.apk2022-02-18 15:45 5.1K
[   ]wmctrl-1.07-r1.apk2022-02-18 15:45 14K
[   ]fpp-doc-0.9.5-r0.apk2022-02-14 23:13 5.6K
[   ]fpp-0.9.5-r0.apk2022-02-14 23:13 29K
[   ]bestline-doc-0.0_git20211108-r0.apk2022-02-13 09:17 18M
[   ]bestline-dev-0.0_git20211108-r0.apk2022-02-13 09:17 1.7K
[   ]bestline-0.0_git20211108-r0.apk2022-02-13 09:17 22K
[   ]simp1e-cursors-solarized-0_git20211003-r0.apk2022-02-08 12:53 717K
[   ]simp1e-cursors-snow-0_git20211003-r0.apk2022-02-08 12:53 828K
[   ]simp1e-cursors-dark-0_git20211003-r0.apk2022-02-08 12:53 780K
[   ]simp1e-cursors-breeze-0_git20211003-r0.apk2022-02-08 12:53 857K
[   ]simp1e-cursors-0_git20211003-r0.apk2022-02-08 12:53 741K
[   ]cz-viator-hourglass-black-20210706-r0.apk2022-02-07 13:36 219K
[   ]libserialport-dev-0.1.1-r1.apk2022-02-06 10:44 40K
[   ]libserialport-0.1.1-r1.apk2022-02-06 10:44 20K
[   ]libthai-doc-0.1.29-r0.apk2022-02-05 17:42 118K
[   ]libthai-dev-0.1.29-r0.apk2022-02-05 17:42 32K
[   ]libthai-0.1.29-r0.apk2022-02-05 17:42 197K
[   ]shipments-0.3.0-r0.apk2022-02-03 03:44 23K
[   ]rkdeveloptool-doc-1.1.0-r0.apk2022-02-03 03:10 2.7K
[   ]rkdeveloptool-1.1.0-r0.apk2022-02-03 03:10 53K
[   ]katarakt-0.2-r0.apk2022-02-02 04:38 92K
[   ]rofi-json-menu-0.2.0-r0.apk2022-02-01 21:25 5.7K
[   ]rofi-blocks-0_git20210123-r0.apk2022-02-01 19:27 13K
[   ]libgrapheme-doc-1-r0.apk2022-01-29 00:10 8.0K
[   ]libgrapheme-dev-1-r0.apk2022-01-29 00:10 10K
[   ]libgrapheme-1-r0.apk2022-01-29 00:10 10K
[   ]9base-troff-6-r1.apk2022-01-27 19:56 490K
[   ]9base-doc-6-r1.apk2022-01-27 19:56 63K
[   ]9base-6-r1.apk2022-01-27 19:56 1.8M
[   ]darts-clone-dev-0_git20181117-r0.apk2022-01-27 02:59 13K
[   ]darts-clone-0_git20181117-r0.apk2022-01-27 02:59 42K
[   ]findtow-0.1-r0.apk2022-01-27 02:32 5.1K
[   ]libdatrie-libs-0.2.13-r0.apk2022-01-27 01:31 15K
[   ]libdatrie-doc-0.2.13-r0.apk2022-01-27 01:31 3.5K
[   ]libdatrie-dev-0.2.13-r0.apk2022-01-27 01:31 23K
[   ]libdatrie-0.2.13-r0.apk2022-01-27 01:31 7.7K
[   ]sloccount-doc-2.26-r3.apk2022-01-18 21:37 59K
[   ]sloccount-2.26-r3.apk2022-01-18 21:37 72K
[   ]apk-autoupdate-doc-0_git20210421-r0.apk2022-01-16 00:12 6.8K
[   ]apk-autoupdate-0_git20210421-r0.apk2022-01-16 00:12 14K
[   ]lua-lupa-1.0-r0.apk2022-01-14 10:21 20K
[   ]lua-inet-0.2.0-r0.apk2022-01-14 10:21 8.9K
[   ]psftools-doc-1.1.1-r0.apk2022-01-11 21:17 52K
[   ]psftools-dev-1.1.1-r0.apk2022-01-11 21:17 79K
[   ]psftools-1.1.1-r0.apk2022-01-11 21:17 325K
[   ]php81-pecl-memprof-3.0.2-r0.apk2022-01-08 03:49 14K
[   ]adjtimex-doc-1.29-r0.apk2021-12-31 22:27 7.1K
[   ]adjtimex-1.29-r0.apk2021-12-31 22:27 21K
[   ]wlclock-doc-1.0.1-r0.apk2021-12-31 01:27 3.3K
[   ]wlclock-1.0.1-r0.apk2021-12-31 01:27 17K
[   ]rofi-pass-doc-2.0.2-r2.apk2021-12-29 21:52 5.0K
[   ]rofi-pass-2.0.2-r2.apk2021-12-29 21:52 8.8K
[   ]restart-services-doc-0.17.0-r0.apk2021-12-18 23:54 5.9K
[   ]restart-services-0.17.0-r0.apk2021-12-18 23:54 12K
[   ]libui-dev-4.1_alpha20211213-r0.apk2021-12-13 21:16 19K
[   ]libui-4.1_alpha20211213-r0.apk2021-12-13 21:16 67K
[   ]nullmailer-openrc-2.2-r4.apk2021-12-13 16:08 1.6K
[   ]nullmailer-doc-2.2-r4.apk2021-12-13 16:08 10K
[   ]nullmailer-2.2-r4.apk2021-12-13 16:08 141K
[   ]xkb-switch-doc-1.8.5-r0.apk2021-12-11 19:07 1.9K
[   ]xkb-switch-1.8.5-r0.apk2021-12-11 19:07 19K
[   ]circuslinux-doc-1.0.3-r1.apk2021-12-05 02:04 18K
[   ]circuslinux-data-1.0.3-r1.apk2021-12-05 02:04 1.1M
[   ]circuslinux-1.0.3-r1.apk2021-12-05 02:04 20K
[   ]font-fira-ttf-4.202-r0.apk2021-12-04 04:21 6.2M
[   ]font-fira-otf-4.202-r0.apk2021-12-04 04:21 7.4M
[   ]font-fira-4.202-r0.apk2021-12-04 04:21 1.2K
[   ]xcape-doc-1.2-r0.apk2021-11-29 22:04 2.8K
[   ]xcape-1.2-r0.apk2021-11-29 22:04 7.3K
[   ]font-raleway-ttf-4.101-r1.apk2021-11-24 00:57 1.6M
[   ]font-raleway-otf-4.101-r1.apk2021-11-24 00:57 1.3M
[   ]font-raleway-4.101-r1.apk2021-11-24 00:57 1.2K
[   ]spread-sheet-widget-doc-0.8-r0.apk2021-11-13 23:27 4.4K
[   ]spread-sheet-widget-dev-0.8-r0.apk2021-11-13 23:27 355K
[   ]spread-sheet-widget-dbg-0.8-r0.apk2021-11-13 23:27 186K
[   ]spread-sheet-widget-0.8-r0.apk2021-11-13 23:27 51K
[   ]openttd-opensfx-1.0.3-r0.apk2021-11-03 12:58 11M
[   ]nemo-qml-plugin-alarms-0.3.10-r1.apk2021-11-01 17:16 58K
[   ]modem-manager-gui-lang-0.0.20-r0.apk2021-10-29 15:58 129K
[   ]modem-manager-gui-doc-0.0.20-r0.apk2021-10-29 15:58 3.9M
[   ]modem-manager-gui-0.0.20-r0.apk2021-10-29 15:58 350K
[   ]ssss-doc-0.5.7-r0.apk2021-10-29 09:28 3.3K
[   ]ssss-0.5.7-r0.apk2021-10-29 09:28 14K
[   ]sct-2018.12.18-r1.apk2021-10-28 22:50 4.2K
[   ]minidyndns-openrc-1.3.0-r3.apk2021-10-20 05:31 1.8K
[   ]minidyndns-doc-1.3.0-r3.apk2021-10-20 05:31 5.1K
[   ]minidyndns-1.3.0-r3.apk2021-10-20 05:31 12K
[   ]openttd-openmsx-0.4.2-r0.apk2021-10-17 15:40 129K
[   ]gforth-doc-0.7.3-r3.apk2021-10-15 06:08 274K
[   ]gforth-0.7.3-r3.apk2021-10-15 06:08 702K
[   ]libfort-dev-0.4.2-r0.apk2021-10-03 08:14 17K
[   ]libfort-0.4.2-r0.apk2021-10-03 08:14 32K
[   ]readosm-dev-1.1.0-r2.apk2021-09-28 00:25 20K
[   ]readosm-1.1.0-r2.apk2021-09-28 00:25 15K
[   ]openttd-opengfx-7.1-r0.apk2021-09-25 22:16 3.3M
[   ]spice-html5-0.3.0-r1.apk2021-09-10 07:35 438K
[   ]libsbsms-dev-2.3.0-r0.apk2021-09-04 18:01 134K
[   ]libsbsms-2.3.0-r0.apk2021-09-04 18:01 109K
[   ]libmrss-dev-0.19.2-r1.apk2021-07-18 11:15 32K
[   ]libmrss-0.19.2-r1.apk2021-07-18 11:15 20K
[   ]libretro-daphne-0_git20210108-r1.apk2021-06-03 16:20 659K
[   ]libretro-crocods-0_git20210314-r1.apk2021-06-03 16:20 297K
[   ]vim-airline-doc-0.11-r0.apk2021-05-30 16:23 12K
[   ]vim-airline-0.11-r0.apk2021-05-30 16:23 86K
[   ]libretro-scummvm-0_git20210325-r0.apk2021-05-30 16:22 22M
[   ]arc-icon-theme-20161122-r0.apk2021-05-30 16:22 4.4M
[   ]lua5.4-editorconfig-0.3.0-r0.apk2021-04-12 09:30 5.0K
[   ]lua5.3-editorconfig-0.3.0-r0.apk2021-04-12 09:30 5.0K
[   ]lua5.2-editorconfig-0.3.0-r0.apk2021-04-12 09:30 4.9K
[   ]lua-editorconfig-0.3.0-r0.apk2021-04-12 09:30 1.2K
[   ]libcli-1.10.7-r0.apk2021-03-21 08:50 36K
[   ]powerline-extra-symbols-doc-0_git20191017-r0.apk2021-03-21 07:00 2.4K
[   ]powerline-extra-symbols-0_git20191017-r0.apk2021-03-21 07:00 287K
[   ]font-comic-neue-doc-2.51-r0.apk2021-02-18 18:37 1.0M
[   ]font-comic-neue-2.51-r0.apk2021-02-18 18:37 249K
[   ]gf2x-dev-1.3.0-r0.apk2021-02-15 10:40 67K
[   ]gf2x-1.3.0-r0.apk2021-02-15 10:40 43K
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2021-02-03 22:33 13K
[   ]lua5.4-linenoise-0.9-r1.apk2021-01-30 18:27 19K
[   ]lua5.3-linenoise-0.9-r1.apk2021-01-30 18:27 19K
[   ]lua5.2-linenoise-0.9-r1.apk2021-01-30 18:27 19K
[   ]lua5.1-linenoise-0.9-r1.apk2021-01-30 18:27 19K
[   ]lua-linenoise-0.9-r1.apk2021-01-30 18:27 1.2K
[   ]moka-icon-theme-5.4.0-r2.apk2021-01-13 17:35 114M
[   ]libsds-dev-2.0.0-r1.apk2020-12-15 15:21 3.8K
[   ]libsds-2.0.0-r1.apk2020-12-15 15:21 10K
[   ]prosody-modules-0.11_hg20201208-r0.apk2020-12-08 22:10 1.5K
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2020-12-08 22:10 2.6K
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2020-12-08 22:10 2.7K
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2020-12-08 22:10 2.0K
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2020-12-08 22:10 2.0K
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2020-12-08 22:10 8.6K
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2020-12-08 22:10 2.8K
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2020-12-08 22:10 1.6K
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2020-12-08 22:10 2.0K
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2020-12-08 22:10 1.7K
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2020-12-08 22:10 2.0K
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2020-12-08 22:10 2.7K
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2020-12-08 22:10 104K
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2020-12-08 22:10 3.7K
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2020-12-08 22:10 6.9K
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2020-12-08 22:10 5.6K
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2020-12-08 22:10 5.9K
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2020-12-08 22:10 2.2K
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2020-12-08 22:10 1.8K
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2020-12-08 22:10 2.0K
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2020-12-08 22:10 2.9K
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2020-12-08 22:10 2.8K
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2020-12-08 22:10 3.3K
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2020-12-08 22:10 7.2K
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2020-12-08 22:10 2.0K
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2020-12-08 22:10 1.8K
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2020-12-08 22:10 3.1K
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2020-12-08 22:10 1.8K
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2020-12-08 22:10 2.6K
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2020-12-08 22:10 1.8K
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2020-12-08 22:10 2.8K
[   ]bcg729-dev-1.1.1-r0.apk2020-12-02 09:33 3.5K
[   ]bcg729-1.1.1-r0.apk2020-12-02 09:33 35K
[   ]deadbeef-soxr-20180801-r0.apk2020-11-25 07:29 6.6K
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r0.apk2020-11-22 22:12 47K
[   ]otf-atkinson-hyperlegible-2020.0514-r0.apk2020-11-22 22:12 101K
[   ]libfishsound-doc-1.0.0-r1.apk2020-08-10 01:25 75K
[   ]libfishsound-dev-1.0.0-r1.apk2020-08-10 01:25 57K
[   ]libfishsound-1.0.0-r1.apk2020-08-10 01:25 11K
[   ]purple-facebook-0.9.6-r0.apk2020-07-23 14:29 79K
[   ]lua5.2-libmodbus-0.6.1-r0.apk2020-07-11 16:23 11K
[   ]lua5.1-libmodbus-0.6.1-r0.apk2020-07-11 16:23 11K
[   ]lua-libmodbus-doc-0.6.1-r0.apk2020-07-11 16:23 19K
[   ]lua-libmodbus-0.6.1-r0.apk2020-07-11 16:23 1.2K
[   ]purple-hangouts-0_git20200422-r0.apk2020-07-08 15:48 231K
[   ]libvdpau-va-gl-0.4.2-r0.apk2020-07-04 06:28 60K
[   ]autoconf-policy-0.1-r0.apk2020-06-12 09:05 5.5K
[   ]qml-box2d-0_git20180406-r0.apk2020-05-21 19:38 149K
[   ]lua5.2-xml-1.1.3-r1.apk2020-03-02 12:32 23K
[   ]lua5.1-xml-1.1.3-r1.apk2020-03-02 12:32 23K
[   ]lua-xml-1.1.3-r1.apk2020-03-02 12:32 1.2K
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2020-02-26 23:29 10K
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2020-02-26 23:29 8.5K
[   ]msgpuck-doc-2.0-r1.apk2020-02-22 17:50 7.3K
[   ]msgpuck-dev-2.0-r1.apk2020-02-22 17:50 24K
[   ]msgpuck-2.0-r1.apk2020-02-22 17:50 1.2K
[   ]lua5.3-psl-0.3-r0.apk2020-02-05 11:50 6.7K
[   ]lua5.2-psl-0.3-r0.apk2020-02-05 11:50 6.7K
[   ]lua5.1-psl-0.3-r0.apk2020-02-05 11:50 6.8K
[   ]lua-psl-0.3-r0.apk2020-02-05 11:50 1.1K
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2019-11-22 16:18 316K
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2019-11-22 16:18 316K
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2019-11-22 16:18 316K
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2019-11-22 16:18 316K
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2019-11-22 16:18 5.5K
[   ]font-fantasque-sans-1.8.0-r0.apk2019-11-22 16:18 1.2K
[   ]lua-lut-1.2.1-r0.apk2019-06-25 21:10 90K
[   ]blip-doc-0.10-r0.apk2019-06-14 19:31 30K
[   ]blip-0.10-r0.apk2019-06-14 19:31 15K
[   ]sylpheed-imap-notify-1.1.0-r0.apk2019-04-17 09:38 8.8K
[   ]libnxml-dev-0.18.3-r0.apk2019-03-19 12:28 29K
[   ]libnxml-0.18.3-r0.apk2019-03-19 12:28 21K
[   ]apache2-mod-realdoc-1-r1.apk2019-03-04 22:49 4.9K
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2018-10-12 21:49 1.6K
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2018-10-12 21:49 9.0K
[   ]apk-readme-0.1-r1.apk2018-10-12 21:48 1.2K
[   ]lua5.1-lcurses-9.0.0-r0.apk2018-01-05 14:55 25K
[   ]lua-lcurses-9.0.0-r0.apk2018-01-05 14:55 1.1K
[   ]colormake-doc-0.9.20170221-r0.apk2017-09-06 14:04 2.7K
[   ]colormake-0.9.20170221-r0.apk2017-09-06 14:04 4.0K
[   ]cpiped-0.1.0-r0.apk2017-08-29 12:34 7.1K
[   ]shine-3.1.1-r0.apk2017-08-25 01:16 66K
[   ]luapak-0.1.0_beta5-r0.apk2017-07-26 13:57 35K
[   ]hping3-doc-20051105-r4.apk2017-07-13 16:27 17K
[   ]hping3-20051105-r4.apk2017-07-13 16:27 69K
[   ]vim-rust-305-r0.apk2017-03-28 21:33 20K
[   ]mm-doc-1.4.2-r1.apk2017-03-28 21:32 14K
[   ]mm-dev-1.4.2-r1.apk2017-03-28 21:32 13K
[   ]mm-1.4.2-r1.apk2017-03-28 21:32 7.7K

Apache/2.4.58 (Unix) Server at mirror.accum.se Port 443